SKY130_OSU_SC_18T_LS__NAND2x

sky130_osu_sc_18T_ls_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00


Truth Table
INPUT OUTPUT
ABY
0x1
101
110

Footprint
Cell NameArea
sky130_osu_sc_18T_ls__nand2_1 9.52380
sky130_osu_sc_18T_ls__nand2_l 9.52380


Pin Capacitance Information
Cell Name Pin Cap(pf) Max Cap(pf)
A B Y
sky130_osu_sc_18T_ls__nand2_1 0.00566 0.00562 0.16932
sky130_osu_sc_18T_ls__nand2_l 0.00430 0.00428 0.11564


Leakage Information
Cell NameLeakage(nW)
Min.AvgMax.
sky130_osu_sc_18T_ls__nand2_1 0.00000 0.00088 0.00224
sky130_osu_sc_18T_ls__nand2_l 0.00000 0.00053 0.00155


Delay Information

Delay(ns) to Y rising :
Cell NameTiming Arc(Dir) Delay(ns)
FirstMidLast
sky130_osu_sc_18T_ls__nand2_1 A->Y (FR) 0.03513 0.18630 0.86723
B->Y (FR) 0.04147 0.19092 0.86387
sky130_osu_sc_18T_ls__nand2_l A->Y (FR) 0.03914 0.20014 0.86046
B->Y (FR) 0.04666 0.20688 0.86378


Delay(ns) to Y falling :
Cell NameTiming Arc(Dir) Delay(ns)
FirstMidLast
sky130_osu_sc_18T_ls__nand2_1 A->Y (RF) 0.03316 0.16738 0.78430
B->Y (RF) 0.03774 0.16802 0.76262
sky130_osu_sc_18T_ls__nand2_l A->Y (RF) 0.03735 0.18145 0.77311
B->Y (RF) 0.04175 0.18164 0.75038


Power Information

Internal switching power(pJ) to Y rising :
Cell NameInput Power(pJ)
firstmidlast
sky130_osu_sc_18T_ls__nand2_1 A 0.00000 0.00000 0.00000
A 0.00850 0.00848 0.00860
B 0.00000 0.00000 0.00000
B 0.01063 0.01050 0.01055
sky130_osu_sc_18T_ls__nand2_l A 0.00000 0.00000 0.00000
A 0.00642 0.00633 0.00643
B 0.00000 0.00000 0.00000
B 0.00799 0.00788 0.00790


Internal switching power(pJ) to Y falling :
Cell NameInput Power(pJ)
firstmidlast
sky130_osu_sc_18T_ls__nand2_1 A 0.00000 0.00000 0.00000
A -0.00119 -0.00127 -0.00119
B 0.00000 0.00000 0.00000
B -0.00112 -0.00123 -0.00118
sky130_osu_sc_18T_ls__nand2_l A 0.00000 0.00000 0.00000
A -0.00085 -0.00090 -0.00092
B 0.00000 0.00000 0.00000
B -0.00081 -0.00090 -0.00091


Passive power(pJ) for A rising (conditional):
Cell Name When Power(pJ)
firstmidlast
sky130_osu_sc_18T_ls__nand2_1 (!B * Y) 0.00000 0.00000 0.00000
(!B * Y) -0.00602 -0.00603 -0.00602
sky130_osu_sc_18T_ls__nand2_l (!B * Y) 0.00000 0.00000 0.00000
(!B * Y) -0.00432 -0.00433 -0.00433


Passive power(pJ) for A falling (conditional):
Cell Name When Power(pJ)
firstmidlast
sky130_osu_sc_18T_ls__nand2_1 (!B * Y) 0.00000 0.00000 0.00000
(!B * Y) 0.00602 0.00603 0.00602
sky130_osu_sc_18T_ls__nand2_l (!B * Y) 0.00000 0.00000 0.00000
(!B * Y) 0.00432 0.00433 0.00433


Passive power(pJ) for B rising (conditional):
Cell Name When Power(pJ)
firstmidlast
sky130_osu_sc_18T_ls__nand2_1 (!A * Y) 0.00000 0.00000 0.00000
(!A * Y) -0.00561 -0.00562 -0.00561
sky130_osu_sc_18T_ls__nand2_l (!A * Y) 0.00000 0.00000 0.00000
(!A * Y) -0.00403 -0.00403 -0.00402


Passive power(pJ) for B falling (conditional):
Cell Name When Power(pJ)
firstmidlast
sky130_osu_sc_18T_ls__nand2_1 (!A * Y) 0.00000 0.00000 0.00000
(!A * Y) 0.00561 0.00562 0.00562
sky130_osu_sc_18T_ls__nand2_l (!A * Y) 0.00000 0.00000 0.00000
(!A * Y) 0.00403 0.00403 0.00403


Prev (SKY130_OSU_SC_18T_LS__MUX2)
Next (SKY130_OSU_SC_18T_LS__NOR2x)