/*
*/
`ifndef SKY130_FD_SC_MS__TAPMET1_FUNCTIONAL_V
`define SKY130_FD_SC_MS__TAPMET1_FUNCTIONAL_V
/**
`timescale 1ns / 1ps
`default_nettype none
`celldefine
module sky130_fd_sc_ms__tapmet1 ();
// No contents.
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_MS__TAPMET1_FUNCTIONAL_V
.subckt sky130_fd_sc_ms__tapmet1_2 VGND VPB VPWR
.ends