/*
*/
`ifndef SKY130_FD_SC_MS__OR4_FUNCTIONAL_V
`define SKY130_FD_SC_MS__OR4_FUNCTIONAL_V
/**
`timescale 1ns / 1ps
`default_nettype none
`celldefine
module sky130_fd_sc_ms__or4 (
X,
A,
B,
C,
D
);
// Module ports
output X;
input A;
input B;
input C;
input D;
// Local signals
wire or0_out_X;
// Name Output Other arguments
or or0 (or0_out_X, D, C, B, A );
buf buf0 (X , or0_out_X );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_MS__OR4_FUNCTIONAL_V
not to scale
.subckt sky130_fd_sc_ms__or4_1 A B C D VGND VNB VPB VPWR X
X0 a_136_392# C a_220_392# VPB sky130_fd_pr__pfet_01v8 w=1e+06u l=180000u
X1 VGND a_44_392# X VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X2 VGND B a_44_392# VNB sky130_fd_pr__nfet_01v8_lvt w=550000u l=150000u
X3 a_220_392# B a_334_392# VPB sky130_fd_pr__pfet_01v8 w=1e+06u l=180000u
X4 VPWR a_44_392# X VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X5 a_334_392# A VPWR VPB sky130_fd_pr__pfet_01v8 w=1e+06u l=180000u
X6 a_44_392# D a_136_392# VPB sky130_fd_pr__pfet_01v8 w=1e+06u l=180000u
X7 a_44_392# A VGND VNB sky130_fd_pr__nfet_01v8_lvt w=550000u l=150000u
X8 VGND D a_44_392# VNB sky130_fd_pr__nfet_01v8_lvt w=550000u l=150000u
X9 a_44_392# C VGND VNB sky130_fd_pr__nfet_01v8_lvt w=550000u l=150000u
.ends
.subckt sky130_fd_sc_ms__or4_2 A B C D VGND VNB VPB VPWR X
X0 a_177_392# C a_261_392# VPB sky130_fd_pr__pfet_01v8 w=1e+06u l=180000u
X1 a_261_392# B a_345_392# VPB sky130_fd_pr__pfet_01v8 w=1e+06u l=180000u
X2 a_85_392# C VGND VNB sky130_fd_pr__nfet_01v8_lvt w=640000u l=150000u
X3 VPWR a_85_392# X VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X4 VGND a_85_392# X VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X5 a_345_392# A VPWR VPB sky130_fd_pr__pfet_01v8 w=1e+06u l=180000u
X6 X a_85_392# VGND VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X7 VGND D a_85_392# VNB sky130_fd_pr__nfet_01v8_lvt w=640000u l=150000u
X8 VGND B a_85_392# VNB sky130_fd_pr__nfet_01v8_lvt w=640000u l=150000u
X9 X a_85_392# VPWR VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X10 a_85_392# D a_177_392# VPB sky130_fd_pr__pfet_01v8 w=1e+06u l=180000u
X11 a_85_392# A VGND VNB sky130_fd_pr__nfet_01v8_lvt w=640000u l=150000u
.ends
.subckt sky130_fd_sc_ms__or4_4 A B C D VGND VNB VPB VPWR X
X0 VGND C a_83_264# VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X1 a_83_264# D a_965_392# VPB sky130_fd_pr__pfet_01v8 w=1e+06u l=180000u
X2 VGND B a_83_264# VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X3 a_83_264# D VGND VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X4 X a_83_264# VPWR VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X5 a_591_392# A VPWR VPB sky130_fd_pr__pfet_01v8 w=1e+06u l=180000u
X6 X a_83_264# VPWR VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X7 a_83_264# A VGND VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X8 a_965_392# D a_83_264# VPB sky130_fd_pr__pfet_01v8 w=1e+06u l=180000u
X9 VGND a_83_264# X VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X10 a_591_392# B a_499_392# VPB sky130_fd_pr__pfet_01v8 w=1e+06u l=180000u
X11 VGND a_83_264# X VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X12 VPWR a_83_264# X VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X13 a_965_392# C a_499_392# VPB sky130_fd_pr__pfet_01v8 w=1e+06u l=180000u
X14 a_499_392# B a_591_392# VPB sky130_fd_pr__pfet_01v8 w=1e+06u l=180000u
X15 VPWR A a_591_392# VPB sky130_fd_pr__pfet_01v8 w=1e+06u l=180000u
X16 a_499_392# C a_965_392# VPB sky130_fd_pr__pfet_01v8 w=1e+06u l=180000u
X17 X a_83_264# VGND VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X18 VPWR a_83_264# X VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X19 X a_83_264# VGND VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
.ends