/*
*/
`ifndef SKY130_FD_SC_MS__FILL_FUNCTIONAL_V
`define SKY130_FD_SC_MS__FILL_FUNCTIONAL_V
/**
`timescale 1ns / 1ps
`default_nettype none
`celldefine
module sky130_fd_sc_ms__fill ();
// Module supplies
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
// No contents.
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_MS__FILL_FUNCTIONAL_V
not to scale
.subckt sky130_fd_sc_ms__fill_1 VGND VNB VPB VPWR
.ends
.subckt sky130_fd_sc_ms__fill_2 VGND VNB VPB VPWR
.ends
.subckt sky130_fd_sc_ms__fill_4 VGND VNB VPB VPWR
.ends
.subckt sky130_fd_sc_ms__fill_8 VGND VNB VPB VPWR
.ends