NAME

a2111oi from sky130_fd_sc_ms

DESCRIPTION

2-input AND into first input of 4-input NOR.

FUNCTION

Y = !((A1 & A2) | B1 | C1 | D1)

VERILOG

"sky130_fd_sc_ms__a2111oi"
/*
*/


`ifndef SKY130_FD_SC_MS__A2111OI_FUNCTIONAL_V
`define SKY130_FD_SC_MS__A2111OI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ms__a2111oi (
    Y ,
    A1,
    A2,
    B1,
    C1,
    D1
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  B1;
    input  C1;
    input  D1;

    // Local signals
    wire and0_out  ;
    wire nor0_out_Y;

    //  Name  Output      Other arguments
    and and0 (and0_out  , A1, A2              );
    nor nor0 (nor0_out_Y, B1, C1, D1, and0_out);
    buf buf0 (Y         , nor0_out_Y          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_MS__A2111OI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ms__a2111oi_1

not to scale



.subckt sky130_fd_sc_ms__a2111oi_1 A1 A2 B1 C1 D1 VGND VNB VPB VPWR Y
X0 VGND B1 Y VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X1 a_345_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X2 a_159_368# C1 a_237_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X3 Y C1 VGND VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X4 Y A1 a_461_74# VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X5 VPWR A2 a_345_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X6 a_461_74# A2 VGND VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X7 a_237_368# B1 a_345_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X8 Y D1 a_159_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X9 VGND D1 Y VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
.ends

sky130_fd_sc_ms__a2111oi_2

not to scale


.subckt sky130_fd_sc_ms__a2111oi_2 A1 A2 B1 C1 D1 VGND VNB VPB VPWR Y
X0 VGND B1 Y VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X1 a_69_368# D1 Y VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X2 a_722_74# A1 Y VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X3 a_69_368# C1 a_337_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X4 Y C1 VGND VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X5 a_337_368# C1 a_69_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X6 a_533_368# B1 a_337_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X7 a_722_74# A2 VGND VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X8 a_533_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X9 Y A1 a_722_74# VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X10 a_533_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X11 VPWR A2 a_533_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X12 VGND A2 a_722_74# VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X13 Y D1 a_69_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X14 a_337_368# B1 a_533_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X15 VPWR A1 a_533_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X16 VGND D1 Y VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
.ends

sky130_fd_sc_ms__a2111oi_4

not to scale


.subckt sky130_fd_sc_ms__a2111oi_4 A1 A2 B1 C1 D1 VGND VNB VPB VPWR Y
X0 Y B1 VGND VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X1 a_853_368# B1 a_477_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X2 VGND A2 a_1228_74# VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X3 a_477_368# B1 a_853_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X4 a_29_368# D1 Y VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X5 a_29_368# C1 a_477_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X6 a_853_368# B1 a_477_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X7 a_29_368# C1 a_477_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X8 a_853_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X9 a_1228_74# A2 VGND VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X10 VGND D1 Y VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X11 a_853_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X12 a_853_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X13 VGND C1 Y VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X14 a_853_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X15 a_1228_74# A1 Y VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X16 Y D1 a_29_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X17 VPWR A2 a_853_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X18 Y D1 VGND VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X19 Y D1 a_29_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X20 a_1228_74# A1 Y VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X21 Y C1 VGND VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X22 a_477_368# C1 a_29_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X23 a_477_368# B1 a_853_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X24 VGND B1 Y VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X25 Y A1 a_1228_74# VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X26 a_477_368# C1 a_29_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X27 VPWR A1 a_853_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X28 a_1228_74# A2 VGND VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X29 VPWR A1 a_853_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X30 VGND A2 a_1228_74# VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X31 Y A1 a_1228_74# VNB sky130_fd_pr__nfet_01v8_lvt w=740000u l=150000u
X32 a_29_368# D1 Y VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
X33 VPWR A2 a_853_368# VPB sky130_fd_pr__pfet_01v8 w=1.12e+06u l=180000u
.ends