NAME

xor3 from sky130_fd_sc_ls

DESCRIPTION

3-input exclusive OR.

FUNCTION

X = A ^ B ^ C

VERILOG

"sky130_fd_sc_ls__xor3"
/*
*/


`ifndef SKY130_FD_SC_LS__XOR3_FUNCTIONAL_V
`define SKY130_FD_SC_LS__XOR3_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__xor3 (
    X,
    A,
    B,
    C
);

    // Module ports
    output X;
    input  A;
    input  B;
    input  C;

    // Local signals
    wire xor0_out_X;

    //  Name  Output      Other arguments
    xor xor0 (xor0_out_X, A, B, C        );
    buf buf0 (X         , xor0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__XOR3_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__xor3_1

not to scale



.subckt sky130_fd_sc_ls__xor3_1 A B C VGND VNB VPB VPWR X
X0 a_1157_298# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_384_392# a_452_288# a_27_134# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_27_134# B a_384_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 a_384_392# a_1157_298# a_1215_396# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 a_84_108# B a_416_86# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 a_27_134# a_84_108# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 a_27_134# a_84_108# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 VGND a_1215_396# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_1215_396# C a_384_392# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X9 a_384_392# a_452_288# a_84_108# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 a_1157_298# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VGND A a_84_108# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 a_27_134# B a_416_86# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X13 a_452_288# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 a_84_108# B a_384_392# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X15 VPWR a_1215_396# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 a_416_86# a_452_288# a_84_108# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X17 a_1215_396# C a_416_86# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X18 VPWR A a_84_108# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 a_416_86# a_1157_298# a_1215_396# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X20 a_416_86# a_452_288# a_27_134# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 a_452_288# B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__xor3_2

not to scale


.subckt sky130_fd_sc_ls__xor3_2 A B C VGND VNB VPB VPWR X
X0 a_1162_379# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_27_134# B a_416_113# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_416_113# a_1162_379# a_1195_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 a_27_134# a_83_289# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 a_83_289# B a_372_419# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X5 VPWR a_1195_424# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 VPWR A a_83_289# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_27_134# B a_372_419# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 a_372_419# a_440_315# a_83_289# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 VGND A a_83_289# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 a_1162_379# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X11 a_372_419# a_1162_379# a_1195_424# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 a_372_419# a_440_315# a_27_134# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X13 a_440_315# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 a_27_134# a_83_289# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 a_83_289# B a_416_113# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 a_416_113# a_440_315# a_83_289# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X17 a_416_113# a_440_315# a_27_134# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 VGND a_1195_424# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 X a_1195_424# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 X a_1195_424# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 a_1195_424# C a_416_113# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X22 a_1195_424# C a_372_419# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X23 a_440_315# B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__xor3_4

not to scale


.subckt sky130_fd_sc_ls__xor3_4 A B C VGND VNB VPB VPWR X
X0 a_416_118# a_1155_284# a_1218_388# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X1 a_27_118# B a_416_118# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 X a_1218_388# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_323_392# a_1155_284# a_1218_388# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 X a_1218_388# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 a_74_294# B a_416_118# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 VGND a_1218_388# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_416_118# a_397_320# a_27_118# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR a_1218_388# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_1218_388# C a_416_118# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 VPWR a_1218_388# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 a_397_320# B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_1155_284# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X13 a_1155_284# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_27_118# B a_323_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X15 a_74_294# B a_323_392# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X16 a_416_118# a_397_320# a_74_294# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X17 a_323_392# a_397_320# a_74_294# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X18 a_27_118# a_74_294# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 a_1218_388# C a_323_392# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X20 X a_1218_388# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 VPWR A a_74_294# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X22 a_27_118# a_74_294# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X23 VGND a_1218_388# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X24 VGND A a_74_294# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X25 X a_1218_388# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X26 a_323_392# a_397_320# a_27_118# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X27 a_397_320# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends