NAME

xnor3 from sky130_fd_sc_ls

DESCRIPTION

3-input exclusive NOR.

FUNCTION

VERILOG

"sky130_fd_sc_ls__xnor3"
/*
*/


`ifndef SKY130_FD_SC_LS__XNOR3_FUNCTIONAL_V
`define SKY130_FD_SC_LS__XNOR3_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__xnor3 (
    X,
    A,
    B,
    C
);

    // Module ports
    output X;
    input  A;
    input  B;
    input  C;

    // Local signals
    wire xnor0_out_X;

    //   Name   Output       Other arguments
    xnor xnor0 (xnor0_out_X, A, B, C        );
    buf  buf0  (X          , xnor0_out_X    );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__XNOR3_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__xnor3_1

not to scale



.subckt sky130_fd_sc_ls__xnor3_1 A B C VGND VNB VPB VPWR X
X0 a_81_268# a_232_162# a_371_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X1 VGND C a_232_162# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_363_394# C a_81_268# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 a_897_54# a_786_100# a_363_394# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X4 X a_81_268# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VPWR a_897_54# a_1113_383# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 a_363_394# B a_897_54# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X7 VGND B a_786_100# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 X a_81_268# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_897_54# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 a_81_268# a_232_162# a_363_394# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 a_363_394# B a_1113_383# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X12 VGND a_897_54# a_1113_383# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 a_897_54# a_786_100# a_371_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X14 VPWR B a_786_100# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 a_897_54# A VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 a_371_74# C a_81_268# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X17 a_371_74# B a_897_54# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X18 a_1113_383# a_786_100# a_363_394# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 VPWR C a_232_162# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X20 a_1113_383# a_786_100# a_371_74# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X21 a_371_74# B a_1113_383# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends

sky130_fd_sc_ls__xnor3_2

not to scale


.subckt sky130_fd_sc_ls__xnor3_2 A B C VGND VNB VPB VPWR X
X0 a_1027_48# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_27_373# a_83_247# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 X a_1057_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_83_247# B a_329_81# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 a_332_373# a_397_21# a_27_373# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 VGND a_1057_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_397_21# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 X a_1057_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_83_247# B a_332_373# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X9 a_397_21# B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 a_1057_74# C a_332_373# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 a_1057_74# C a_329_81# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X12 a_332_373# a_1027_48# a_1057_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X13 a_27_373# a_83_247# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X14 a_1027_48# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_329_81# a_397_21# a_83_247# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X16 VPWR a_1057_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 a_329_81# a_1027_48# a_1057_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X18 a_27_373# B a_332_373# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 a_27_373# B a_329_81# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X20 a_329_81# a_397_21# a_27_373# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 VPWR A a_83_247# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X22 VGND A a_83_247# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X23 a_332_373# a_397_21# a_83_247# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends

sky130_fd_sc_ls__xnor3_4

not to scale


.subckt sky130_fd_sc_ls__xnor3_4 A B C VGND VNB VPB VPWR X
X0 X a_1057_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_324_373# a_386_23# a_75_227# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 X a_1057_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 VGND A a_75_227# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 a_27_373# a_75_227# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 a_75_227# B a_324_373# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X6 VPWR a_1057_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_27_373# a_75_227# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 a_1024_300# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X9 VPWR A a_75_227# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 VPWR a_1057_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 a_386_23# B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_27_373# B a_321_77# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X13 a_321_77# a_386_23# a_27_373# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_386_23# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 a_75_227# B a_321_77# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 X a_1057_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 a_1024_300# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 VGND a_1057_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 a_321_77# a_386_23# a_75_227# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X20 a_321_77# a_1024_300# a_1057_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X21 X a_1057_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_1057_74# C a_321_77# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X23 a_324_373# a_1024_300# a_1057_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X24 a_1057_74# C a_324_373# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X25 a_324_373# a_386_23# a_27_373# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X26 a_27_373# B a_324_373# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X27 VGND a_1057_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends