NAME

tap from sky130_fd_sc_ls

DESCRIPTION

Tap cell with no tap connections (no contacts on metal1).

FUNCTION

VERILOG

"sky130_fd_sc_ls__tap"
/*
*/


`ifndef SKY130_FD_SC_LS__TAP_FUNCTIONAL_V
`define SKY130_FD_SC_LS__TAP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__tap ();
     // No contents.
endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__TAP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__tap_1

not to scale



.subckt sky130_fd_sc_ls__tap_1 VGND VNB VPB VPWR
.ends

sky130_fd_sc_ls__tap_2

not to scale


.subckt sky130_fd_sc_ls__tap_2 VGND VNB VPB VPWR
.ends