NAME

sedfxbp from sky130_fd_sc_ls

DESCRIPTION

Scan delay flop, data enable, non-inverted clock, complementary outputs.

FUNCTION

VERILOG

"sky130_fd_sc_ls__sedfxbp"
/*
*/


`ifndef SKY130_FD_SC_LS__SEDFXBP_FUNCTIONAL_V
`define SKY130_FD_SC_LS__SEDFXBP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_mux_2to1/sky130_fd_sc_ls__udp_mux_2to1.v"
`include "../../models/udp_dff_p/sky130_fd_sc_ls__udp_dff_p.v"

`celldefine
module sky130_fd_sc_ls__sedfxbp (
    Q  ,
    Q_N,
    CLK,
    D  ,
    DE ,
    SCD,
    SCE
);

    // Module ports
    output Q  ;
    output Q_N;
    input  CLK;
    input  D  ;
    input  DE ;
    input  SCD;
    input  SCE;

    // Local signals
    wire buf_Q  ;
    wire mux_out;
    wire de_d   ;

    //                            Delay       Name       Output   Other arguments
    sky130_fd_sc_ls__udp_mux_2to1             mux_2to10 (mux_out, de_d, SCD, SCE );
    sky130_fd_sc_ls__udp_mux_2to1             mux_2to11 (de_d   , buf_Q, D, DE   );
    sky130_fd_sc_ls__udp_dff$P    `UNIT_DELAY dff0      (buf_Q  , mux_out, CLK   );
    buf                                       buf0      (Q      , buf_Q          );
    not                                       not0      (Q_N    , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__SEDFXBP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__sedfxbp_1

not to scale



.subckt sky130_fd_sc_ls__sedfxbp_1 CLK D DE SCD SCE VGND VNB VPB VPWR Q Q_N
X0 a_2345_392# a_1348_368# a_2463_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 a_533_113# a_575_305# a_27_90# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_697_113# a_1549_74# a_1747_118# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_161_394# DE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 Q a_2463_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_1895_118# a_1972_92# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR a_2463_74# a_575_305# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_1075_125# SCE a_697_113# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR a_1348_368# a_1549_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 VPWR a_1747_118# a_1972_92# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X10 VGND a_575_305# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_157_90# DE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_667_87# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X13 VGND a_161_394# a_533_113# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_2565_74# a_575_305# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_1747_118# a_1348_368# a_1931_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 Q a_2463_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 a_27_90# D a_116_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X18 a_27_90# SCE a_697_113# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X19 a_161_394# DE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X20 VGND CLK a_1348_368# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 a_1068_462# a_667_87# a_697_113# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X22 a_667_87# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 a_27_90# D a_157_90# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 a_2391_74# a_1549_74# a_2463_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X25 VPWR a_575_305# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X26 VGND a_1348_368# a_1549_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X27 a_2463_74# a_1348_368# a_2565_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 VPWR CLK a_1348_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X29 a_1747_118# a_1549_74# a_1895_118# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 VGND a_1747_118# a_1972_92# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X31 a_697_113# a_1348_368# a_1747_118# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X32 VGND a_2463_74# a_575_305# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X33 a_1931_508# a_1972_92# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X34 VPWR DE a_556_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X35 a_27_90# a_667_87# a_697_113# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X36 VPWR a_1972_92# a_2345_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X37 a_2463_74# a_1549_74# a_2647_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X38 a_116_464# a_161_394# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X39 VPWR SCD a_1068_462# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X40 VGND SCD a_1075_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X41 VGND a_1972_92# a_2391_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X42 a_556_464# a_575_305# a_27_90# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X43 a_2647_508# a_575_305# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_ls__sedfxbp_2

not to scale


.subckt sky130_fd_sc_ls__sedfxbp_2 CLK D DE SCD SCE VGND VNB VPB VPWR Q Q_N
X0 a_183_290# DE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_32_74# D a_141_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR a_1784_97# a_2013_71# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 VGND SCD a_1091_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR a_2013_71# a_2374_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 VGND a_2489_74# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 Q a_2489_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_32_74# D a_132_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 a_2591_74# a_575_87# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_183_290# DE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_1920_97# a_2013_71# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_661_87# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_1784_97# a_1374_368# a_1944_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X13 a_2489_74# a_1374_368# a_2591_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_141_74# DE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 VPWR a_575_87# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 VGND a_575_87# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 a_578_462# a_575_87# a_32_74# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X18 a_1944_508# a_2013_71# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X19 VGND a_183_290# a_527_113# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 VGND a_1784_97# a_2013_71# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X21 Q_N a_575_87# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_2417_74# a_1586_74# a_2489_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X23 VPWR a_2489_74# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 a_132_464# a_183_290# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X25 VPWR SCD a_1088_453# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X26 VGND a_2489_74# a_575_87# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X27 a_527_113# a_575_87# a_32_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 a_691_113# a_1374_368# a_1784_97# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 a_1091_125# SCE a_691_113# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 a_1088_453# a_661_87# a_691_113# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X31 a_2672_508# a_575_87# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X32 a_1784_97# a_1586_74# a_1920_97# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X33 a_691_113# a_1586_74# a_1784_97# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X34 VGND a_1374_368# a_1586_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X35 VGND a_2013_71# a_2417_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X36 VPWR a_2489_74# a_575_87# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X37 VPWR CLK a_1374_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X38 a_2374_392# a_1374_368# a_2489_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X39 VPWR DE a_578_462# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X40 VGND CLK a_1374_368# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X41 Q_N a_575_87# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X42 a_32_74# SCE a_691_113# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X43 VPWR a_1374_368# a_1586_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X44 a_2489_74# a_1586_74# a_2672_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X45 Q a_2489_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X46 a_661_87# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X47 a_32_74# a_661_87# a_691_113# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends