NAME

sdlclkp from sky130_fd_sc_ls

DESCRIPTION

Scan gated clock.

FUNCTION

VERILOG

"sky130_fd_sc_ls__sdlclkp"
/*
*/


`ifndef SKY130_FD_SC_LS__SDLCLKP_FUNCTIONAL_V
`define SKY130_FD_SC_LS__SDLCLKP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dlatch_p/sky130_fd_sc_ls__udp_dlatch_p.v"

`celldefine
module sky130_fd_sc_ls__sdlclkp (
    GCLK,
    SCE ,
    GATE,
    CLK
);

    // Module ports
    output GCLK;
    input  SCE ;
    input  GATE;
    input  CLK ;

    // Local signals
    wire m0      ;
    wire m0n     ;
    wire clkn    ;
    wire SCE_GATE;

    //                            Name     Output    Other arguments
    not                           not0    (m0n     , m0             );
    not                           not1    (clkn    , CLK            );
    nor                           nor0    (SCE_GATE, GATE, SCE      );
    sky130_fd_sc_ls__udp_dlatch$P dlatch0 (m0      , SCE_GATE, clkn );
    and                           and0    (GCLK    , m0n, CLK       );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__SDLCLKP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__sdlclkp_1

not to scale



.subckt sky130_fd_sc_ls__sdlclkp_1 CLK GATE SCE VGND VNB VPB VPWR GCLK
X0 a_566_74# a_318_74# a_667_80# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_288_48# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_116_424# GATE a_114_112# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 a_722_492# a_709_54# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_114_112# GATE VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X5 VPWR CLK a_1238_94# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X6 VGND a_288_48# a_318_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_288_48# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X8 VGND a_1238_94# GCLK VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VPWR a_566_74# a_709_54# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_1166_94# a_709_54# a_1238_94# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 a_566_74# a_288_48# a_722_492# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X12 a_667_80# a_709_54# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 VPWR SCE a_116_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X14 a_1238_94# a_709_54# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X15 a_114_112# a_288_48# a_566_74# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X16 VPWR a_288_48# a_318_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X17 VGND SCE a_114_112# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X18 a_114_112# a_318_74# a_566_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X19 VPWR a_1238_94# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 VGND CLK a_1166_94# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X21 VGND a_566_74# a_709_54# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__sdlclkp_2

not to scale


.subckt sky130_fd_sc_ls__sdlclkp_2 CLK GATE SCE VGND VNB VPB VPWR GCLK
X0 a_114_112# a_318_74# a_580_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X1 a_1195_374# a_706_317# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 VGND a_1195_374# GCLK VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VPWR a_580_74# a_706_317# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_114_424# GATE a_114_112# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X5 GCLK a_1195_374# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 VPWR a_288_48# a_318_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X7 GCLK a_1195_374# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 a_114_112# GATE VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X9 a_114_112# a_288_48# a_580_74# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X10 VGND a_288_48# a_318_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 VGND CLK a_1198_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 VPWR CLK a_1195_374# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X13 a_685_81# a_706_317# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_708_451# a_706_317# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 VPWR SCE a_114_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X16 VGND a_580_74# a_706_317# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 a_1198_74# a_706_317# a_1195_374# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 a_580_74# a_318_74# a_685_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 VPWR a_1195_374# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 a_288_48# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X21 a_580_74# a_288_48# a_708_451# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X22 VGND SCE a_114_112# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X23 a_288_48# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__sdlclkp_4

not to scale


.subckt sky130_fd_sc_ls__sdlclkp_4 CLK GATE SCE VGND VNB VPB VPWR GCLK
X0 VPWR a_324_79# a_354_105# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X1 a_324_79# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X2 VPWR SCE a_116_395# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 a_116_395# GATE a_119_143# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X4 VPWR a_634_74# a_792_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 a_1289_368# a_792_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_324_79# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_634_74# a_354_105# a_744_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_119_143# a_354_105# a_634_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X9 a_744_74# a_792_48# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VGND a_324_79# a_354_105# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 VPWR a_1289_368# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 GCLK a_1289_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 a_1292_74# a_792_48# a_1289_368# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 GCLK a_1289_368# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 VGND a_1289_368# GCLK VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 a_785_455# a_792_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 VGND a_634_74# a_792_48# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 VGND a_1289_368# GCLK VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 VPWR CLK a_1289_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 VPWR a_1289_368# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 VGND SCE a_119_143# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X22 a_634_74# a_324_79# a_785_455# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X23 a_119_143# a_324_79# a_634_74# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X24 VGND CLK a_1292_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X25 GCLK a_1289_368# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X26 GCLK a_1289_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X27 a_119_143# GATE VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
.ends