NAME

sdfxbp from sky130_fd_sc_ls

DESCRIPTION

Scan delay flop, non-inverted clock, complementary outputs.

FUNCTION

VERILOG

"sky130_fd_sc_ls__sdfxbp"
/*
*/


`ifndef SKY130_FD_SC_LS__SDFXBP_FUNCTIONAL_V
`define SKY130_FD_SC_LS__SDFXBP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_mux_2to1/sky130_fd_sc_ls__udp_mux_2to1.v"
`include "../../models/udp_dff_p/sky130_fd_sc_ls__udp_dff_p.v"

`celldefine
module sky130_fd_sc_ls__sdfxbp (
    Q  ,
    Q_N,
    CLK,
    D  ,
    SCD,
    SCE
);

    // Module ports
    output Q  ;
    output Q_N;
    input  CLK;
    input  D  ;
    input  SCD;
    input  SCE;

    // Local signals
    wire buf_Q  ;
    wire mux_out;

    //                            Delay       Name       Output   Other arguments
    sky130_fd_sc_ls__udp_mux_2to1             mux_2to10 (mux_out, D, SCD, SCE    );
    sky130_fd_sc_ls__udp_dff$P    `UNIT_DELAY dff0      (buf_Q  , mux_out, CLK   );
    buf                                       buf0      (Q      , buf_Q          );
    not                                       not0      (Q_N    , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__SDFXBP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__sdfxbp_1

not to scale



.subckt sky130_fd_sc_ls__sdfxbp_1 CLK D SCD SCE VGND VNB VPB VPWR Q Q_N
X0 VGND a_1021_100# a_1243_398# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X1 a_296_74# a_828_74# a_1021_100# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 VGND CLK a_612_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VPWR CLK a_612_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VGND a_1529_74# a_1723_48# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X5 a_407_464# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 a_1691_508# a_1723_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_31_74# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 VPWR a_1021_100# a_1243_398# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X9 VGND a_2216_112# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 a_296_74# a_31_74# a_407_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X11 a_1529_74# a_828_74# a_1691_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X12 a_218_74# D a_296_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_1180_496# a_1243_398# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X14 a_1243_398# a_612_74# a_1529_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X15 a_296_74# SCE a_434_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_434_74# SCD VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 a_296_74# a_612_74# a_1021_100# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 VPWR SCE a_233_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X19 a_2216_112# a_1723_48# VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X20 VGND a_612_74# a_828_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 VPWR a_1723_48# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X22 a_1243_398# a_828_74# a_1529_74# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X23 VPWR a_612_74# a_828_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 a_1021_100# a_828_74# a_1157_100# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 VGND a_1723_48# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X26 a_1021_100# a_612_74# a_1180_496# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X27 a_1681_74# a_1723_48# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 VGND a_31_74# a_218_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 a_31_74# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 a_1157_100# a_1243_398# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X31 a_2216_112# a_1723_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X32 a_233_464# D a_296_74# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X33 VPWR a_1529_74# a_1723_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X34 VPWR a_2216_112# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X35 a_1529_74# a_612_74# a_1681_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_ls__sdfxbp_2

not to scale


.subckt sky130_fd_sc_ls__sdfxbp_2 CLK D SCD SCE VGND VNB VPB VPWR Q Q_N
X0 VPWR a_1021_97# a_1243_48# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X1 VGND a_1711_48# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_1243_48# a_828_74# a_1511_74# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X3 Q a_1711_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_301_74# a_828_74# a_1021_97# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_1021_97# a_828_74# a_1173_97# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_301_74# a_36_74# a_423_453# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 a_301_74# SCE a_450_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 Q_N a_2322_368# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_1691_508# a_1711_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 VPWR SCE a_238_453# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X11 a_423_453# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X12 VPWR a_2322_368# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 a_1511_74# a_828_74# a_1691_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X14 a_1243_48# a_630_74# a_1511_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X15 a_1663_74# a_1711_48# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_2322_368# a_1711_48# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X17 Q a_1711_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X18 a_450_74# SCD VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 VGND a_630_74# a_828_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 a_2322_368# a_1711_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X21 VPWR a_630_74# a_828_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X22 a_223_74# D a_301_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 VPWR CLK a_630_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 a_1021_97# a_630_74# a_1217_499# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X25 Q_N a_2322_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X26 a_1511_74# a_630_74# a_1663_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 a_36_74# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X28 a_1217_499# a_1243_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X29 a_301_74# a_630_74# a_1021_97# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 a_1173_97# a_1243_48# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X31 a_238_453# D a_301_74# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X32 VGND CLK a_630_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X33 VGND a_36_74# a_223_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 VPWR a_1511_74# a_1711_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X35 a_36_74# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X36 VGND a_1511_74# a_1711_48# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X37 VPWR a_1711_48# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X38 VGND a_1021_97# a_1243_48# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X39 VGND a_2322_368# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends