NAME

sdfstp from sky130_fd_sc_ls

DESCRIPTION

Scan delay flop, inverted set, non-inverted clock, single output.

FUNCTION

VERILOG

"sky130_fd_sc_ls__sdfstp"
/*
*/


`ifndef SKY130_FD_SC_LS__SDFSTP_FUNCTIONAL_V
`define SKY130_FD_SC_LS__SDFSTP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_mux_2to1/sky130_fd_sc_ls__udp_mux_2to1.v"
`include "../../models/udp_dff_ps/sky130_fd_sc_ls__udp_dff_ps.v"

`celldefine
module sky130_fd_sc_ls__sdfstp (
    Q    ,
    CLK  ,
    D    ,
    SCD  ,
    SCE  ,
    SET_B
);

    // Module ports
    output Q    ;
    input  CLK  ;
    input  D    ;
    input  SCD  ;
    input  SCE  ;
    input  SET_B;

    // Local signals
    wire buf_Q  ;
    wire SET    ;
    wire mux_out;

    //                            Delay       Name       Output   Other arguments
    not                                       not0      (SET    , SET_B            );
    sky130_fd_sc_ls__udp_mux_2to1             mux_2to10 (mux_out, D, SCD, SCE      );
    sky130_fd_sc_ls__udp_dff$PS   `UNIT_DELAY dff0      (buf_Q  , mux_out, CLK, SET);
    buf                                       buf0      (Q      , buf_Q            );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__SDFSTP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__sdfstp_1

not to scale



.subckt sky130_fd_sc_ls__sdfstp_1 CLK D SCD SCE SET_B VGND VNB VPB VPWR Q
X0 a_1764_74# a_599_74# a_1910_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_2395_112# a_1764_74# VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X2 a_1150_81# a_1198_55# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_1128_457# a_1198_55# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_1686_74# a_800_74# a_1764_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 VPWR a_998_81# a_1610_341# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 VPWR SET_B a_1764_74# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VGND a_2395_112# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_599_74# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VGND a_599_74# a_800_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 VPWR a_599_74# a_800_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 a_1988_74# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_2395_112# a_1764_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X13 a_27_464# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X14 a_1721_374# a_800_74# a_1764_74# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 a_1958_48# a_1764_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 a_1198_55# a_998_81# a_1426_118# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 VGND a_998_81# a_1686_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X18 a_27_464# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 a_402_74# SCD VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 a_1764_74# a_599_74# a_1610_341# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X21 VGND a_1764_74# a_1958_48# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 a_205_464# D a_289_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X23 a_1721_374# a_1958_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X24 a_289_464# a_599_74# a_998_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 a_1426_118# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 VPWR SCE a_205_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X27 a_998_81# a_800_74# a_1150_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 VPWR a_2395_112# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X29 VPWR a_998_81# a_1198_55# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X30 a_1198_55# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X31 a_415_464# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X32 a_599_74# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X33 VGND a_27_464# a_238_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 a_1910_74# a_1958_48# a_1988_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X35 a_998_81# a_599_74# a_1128_457# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X36 a_238_74# D a_289_464# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X37 a_289_464# a_27_464# a_415_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X38 a_289_464# SCE a_402_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X39 a_289_464# a_800_74# a_998_81# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_ls__sdfstp_2

not to scale


.subckt sky130_fd_sc_ls__sdfstp_2 CLK D SCD SCE SET_B VGND VNB VPB VPWR Q
X0 a_290_464# a_27_74# a_416_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_1584_379# a_991_81# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X2 a_991_81# a_795_74# a_1143_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR a_2611_98# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 Q a_2611_98# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_1143_81# a_1185_55# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_416_464# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 a_2141_508# a_2186_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_1117_483# a_1185_55# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_608_74# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 a_403_74# SCD VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_2611_98# a_1804_424# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 a_1804_424# a_795_74# a_1641_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 a_1804_424# a_795_74# a_2141_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X14 a_1641_74# a_991_81# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X15 a_27_74# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X16 a_1429_74# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 a_2219_74# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 VGND a_27_74# a_239_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 a_1804_424# a_608_74# a_1584_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X20 Q a_2611_98# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 a_608_74# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X22 VPWR a_991_81# a_1584_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X23 a_1804_424# a_608_74# a_2141_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 a_239_74# D a_290_464# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 VPWR a_991_81# a_1185_55# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X26 a_290_464# SCE a_403_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 VPWR SET_B a_1804_424# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X28 VGND a_991_81# a_1641_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X29 VGND a_1804_424# a_2186_367# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 a_290_464# a_608_74# a_991_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X31 VPWR SCE a_206_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X32 a_1185_55# a_991_81# a_1429_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X33 VGND a_2611_98# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X34 a_290_464# a_795_74# a_991_81# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X35 a_1185_55# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X36 a_27_74# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X37 a_1641_74# a_795_74# a_1804_424# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X38 a_2141_74# a_2186_367# a_2219_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X39 a_991_81# a_608_74# a_1117_483# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X40 a_2186_367# a_1804_424# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X41 a_2611_98# a_1804_424# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X42 a_206_464# D a_290_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X43 VGND a_608_74# a_795_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X44 a_1584_379# a_608_74# a_1804_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X45 VPWR a_608_74# a_795_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__sdfstp_4

not to scale


.subckt sky130_fd_sc_ls__sdfstp_4 CLK D SCD SCE SET_B VGND VNB VPB VPWR Q
X0 a_1620_373# a_1017_81# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X1 Q a_2580_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_1677_74# a_803_74# a_1823_524# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 a_1201_55# a_1017_81# a_1445_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_1823_524# a_616_74# a_2149_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_2191_180# a_1823_524# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 VPWR a_616_74# a_803_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_1823_524# a_616_74# a_1620_373# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X8 VGND a_27_74# a_222_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_1445_74# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_2149_74# a_2191_180# a_2227_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_288_464# SCE a_417_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 Q a_2580_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 VGND a_2580_74# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_1823_524# a_803_74# a_1677_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X15 a_27_74# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X16 VPWR SET_B a_1823_524# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 VGND a_616_74# a_803_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 VGND a_1017_81# a_1677_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 a_1677_74# a_1017_81# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X20 VPWR a_1823_524# a_2580_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X21 VGND a_2580_74# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_1153_81# a_1201_55# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 VPWR a_1017_81# a_1201_55# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X24 a_1201_55# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X25 a_204_464# D a_288_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X26 VPWR a_1017_81# a_1620_373# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X27 a_288_464# a_616_74# a_1017_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 Q a_2580_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X29 VPWR SCE a_204_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X30 a_1017_81# a_616_74# a_1140_495# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X31 a_1620_373# a_616_74# a_1823_524# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X32 a_616_74# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X33 a_288_464# a_803_74# a_1017_81# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X34 VPWR a_2580_74# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X35 a_616_74# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X36 a_2580_74# a_1823_524# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X37 a_414_464# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X38 Q a_2580_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X39 a_27_74# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X40 a_2580_74# a_1823_524# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X41 VPWR a_2580_74# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X42 VGND a_1823_524# a_2191_180# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X43 a_1140_495# a_1201_55# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X44 a_222_74# D a_288_464# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X45 a_1017_81# a_803_74# a_1153_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X46 a_2227_74# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X47 a_288_464# a_27_74# a_414_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X48 a_1823_524# a_803_74# a_2103_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X49 a_2103_508# a_2191_180# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X50 a_417_74# SCD VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends