NAME

sdfsbp from sky130_fd_sc_ls

DESCRIPTION

Scan delay flop, inverted set, non-inverted clock, complementary outputs.

FUNCTION

VERILOG

"sky130_fd_sc_ls__sdfsbp"
/*
*/


`ifndef SKY130_FD_SC_LS__SDFSBP_FUNCTIONAL_V
`define SKY130_FD_SC_LS__SDFSBP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_mux_2to1/sky130_fd_sc_ls__udp_mux_2to1.v"
`include "../../models/udp_dff_ps/sky130_fd_sc_ls__udp_dff_ps.v"

`celldefine
module sky130_fd_sc_ls__sdfsbp (
    Q    ,
    Q_N  ,
    CLK  ,
    D    ,
    SCD  ,
    SCE  ,
    SET_B
);

    // Module ports
    output Q    ;
    output Q_N  ;
    input  CLK  ;
    input  D    ;
    input  SCD  ;
    input  SCE  ;
    input  SET_B;

    // Local signals
    wire buf_Q  ;
    wire SET    ;
    wire mux_out;

    //                            Delay       Name       Output   Other arguments
    not                                       not0      (SET    , SET_B            );
    sky130_fd_sc_ls__udp_mux_2to1             mux_2to10 (mux_out, D, SCD, SCE      );
    sky130_fd_sc_ls__udp_dff$PS   `UNIT_DELAY dff0      (buf_Q  , mux_out, CLK, SET);
    buf                                       buf0      (Q      , buf_Q            );
    not                                       not1      (Q_N    , buf_Q            );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__SDFSBP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__sdfsbp_1

not to scale



.subckt sky130_fd_sc_ls__sdfsbp_1 CLK D SCD SCE SET_B VGND VNB VPB VPWR Q Q_N
X0 a_290_464# a_27_74# a_416_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_1762_74# a_594_74# a_1876_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VGND a_995_74# a_1684_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 VGND a_1762_74# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_228_74# D a_290_464# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_995_74# a_594_74# a_1133_478# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_290_464# SCE a_392_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_416_464# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 a_995_74# a_781_74# a_1115_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VGND a_1762_74# a_1924_48# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VGND a_2556_112# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_1954_74# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_1411_74# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 VPWR a_594_74# a_781_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VPWR a_995_74# a_1600_347# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 a_2556_112# a_1762_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X16 a_2556_112# a_1762_74# VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X17 a_290_464# a_594_74# a_995_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 VGND a_594_74# a_781_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 a_290_464# a_781_74# a_995_74# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 VPWR SET_B a_1762_74# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X21 a_1163_48# a_995_74# a_1411_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 VPWR a_2556_112# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X23 a_27_74# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X24 VGND a_27_74# a_228_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 a_594_74# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X26 a_1876_74# a_1924_48# a_1954_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 a_1163_48# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X28 a_1762_74# a_594_74# a_1600_347# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X29 a_1684_74# a_781_74# a_1762_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X30 VPWR a_1762_74# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X31 a_392_74# SCD VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X32 a_1712_374# a_781_74# a_1762_74# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X33 VPWR SCE a_206_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X34 a_1115_74# a_1163_48# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X35 a_594_74# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X36 a_1133_478# a_1163_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X37 a_27_74# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X38 a_1924_48# a_1762_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X39 a_1712_374# a_1924_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X40 a_206_464# D a_290_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X41 VPWR a_995_74# a_1163_48# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_ls__sdfsbp_2

not to scale


.subckt sky130_fd_sc_ls__sdfsbp_2 CLK D SCD SCE SET_B VGND VNB VPB VPWR Q Q_N
X0 VGND a_2067_74# a_2513_258# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR SCE a_220_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_619_368# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 VGND a_3177_368# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_304_464# a_27_74# a_418_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 a_1794_74# a_1069_81# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 a_3177_368# a_2067_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 VPWR a_3177_368# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 VGND a_27_74# a_229_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VGND a_619_368# a_871_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 a_3177_368# a_2067_74# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 a_1789_424# a_1069_81# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X12 a_304_464# a_619_368# a_1069_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_418_464# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X14 VPWR SET_B a_2067_74# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 VPWR a_2067_74# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 a_2501_74# a_2513_258# a_2579_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 Q a_3177_368# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 VGND a_2067_74# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 a_2067_74# a_619_368# a_1789_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X20 a_2067_74# a_871_74# a_1794_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X21 VPWR a_1069_81# a_1252_376# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X22 a_1252_376# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X23 a_2277_455# a_871_74# a_2067_74# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X24 a_2513_258# a_2067_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X25 a_2067_74# a_619_368# a_2501_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 a_304_464# a_871_74# a_1069_81# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X27 a_1274_81# a_1252_376# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 a_619_368# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X29 a_1567_74# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 a_1201_463# a_1252_376# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X31 a_495_74# SCD VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X32 Q_N a_2067_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X33 Q a_3177_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X34 VPWR a_1069_81# a_1789_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X35 a_1069_81# a_619_368# a_1201_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X36 Q_N a_2067_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X37 a_1794_74# a_871_74# a_2067_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X38 a_229_74# D a_304_464# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X39 a_27_74# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X40 a_2277_455# a_2513_258# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X41 a_1069_81# a_871_74# a_1274_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X42 a_1252_376# a_1069_81# a_1567_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X43 a_27_74# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X44 a_220_464# D a_304_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X45 a_304_464# SCE a_495_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X46 a_1789_424# a_619_368# a_2067_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X47 VGND a_1069_81# a_1794_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X48 a_2579_74# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X49 VPWR a_619_368# a_871_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends