NAME

sdfrtp from sky130_fd_sc_ls

DESCRIPTION

Scan delay flop, inverted reset, non-inverted clock, single output.

FUNCTION

VERILOG

"sky130_fd_sc_ls__sdfrtp"
/*
*/


`ifndef SKY130_FD_SC_LS__SDFRTP_FUNCTIONAL_V
`define SKY130_FD_SC_LS__SDFRTP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_mux_2to1/sky130_fd_sc_ls__udp_mux_2to1.v"
`include "../../models/udp_dff_pr/sky130_fd_sc_ls__udp_dff_pr.v"

`celldefine
module sky130_fd_sc_ls__sdfrtp (
    Q      ,
    CLK    ,
    D      ,
    SCD    ,
    SCE    ,
    RESET_B
);

    // Module ports
    output Q      ;
    input  CLK    ;
    input  D      ;
    input  SCD    ;
    input  SCE    ;
    input  RESET_B;

    // Local signals
    wire buf_Q  ;
    wire RESET  ;
    wire mux_out;

    //                            Delay       Name       Output   Other arguments
    not                                       not0      (RESET  , RESET_B            );
    sky130_fd_sc_ls__udp_mux_2to1             mux_2to10 (mux_out, D, SCD, SCE        );
    sky130_fd_sc_ls__udp_dff$PR   `UNIT_DELAY dff0      (buf_Q  , mux_out, CLK, RESET);
    buf                                       buf0      (Q      , buf_Q              );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__SDFRTP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__sdfrtp_1

not to scale



.subckt sky130_fd_sc_ls__sdfrtp_1 CLK D RESET_B SCD SCE VGND VNB VPB VPWR Q
X0 a_312_81# D a_300_464# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_535_464# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_835_98# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 VPWR a_1234_119# a_1367_93# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 a_1745_74# a_1034_392# a_1993_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_300_464# a_835_98# a_1234_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND a_1234_119# a_1367_93# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X7 VPWR RESET_B a_1234_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 VPWR a_835_98# a_1034_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 a_225_81# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_1367_93# a_1034_392# a_1745_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 a_300_464# a_27_88# a_535_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X12 VGND a_2399_424# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 VGND a_1745_74# a_2399_424# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X14 VGND a_835_98# a_1034_392# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 VPWR RESET_B a_1997_272# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 a_1343_461# a_1367_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 a_225_81# a_27_88# a_312_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_27_88# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X19 a_1367_93# a_835_98# a_1745_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X20 a_27_88# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 VPWR SCE a_216_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X22 VPWR a_2399_424# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X23 VGND RESET_B a_2135_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 a_2135_74# a_1745_74# a_1997_272# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 a_216_464# D a_300_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X26 VPWR RESET_B a_300_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X27 a_1997_272# a_1745_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X28 a_1320_119# a_1367_93# a_1397_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 a_1993_508# a_1997_272# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X30 a_300_464# SCE a_545_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X31 a_1745_74# a_835_98# a_1972_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X32 a_835_98# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X33 a_1234_119# a_1034_392# a_1320_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 a_300_464# a_1034_392# a_1234_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X35 a_545_81# SCD a_225_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X36 a_1234_119# a_835_98# a_1343_461# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X37 VPWR a_1745_74# a_2399_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X38 a_1397_119# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X39 a_1972_74# a_1997_272# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_ls__sdfrtp_2

not to scale


.subckt sky130_fd_sc_ls__sdfrtp_2 CLK D RESET_B SCD SCE VGND VNB VPB VPWR Q
X0 a_312_81# D a_390_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_2242_74# a_1824_74# a_2082_446# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_390_81# a_27_74# a_512_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_512_464# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 a_835_98# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 a_390_81# a_835_98# a_1234_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR a_2492_392# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 Q a_2492_392# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 VPWR a_835_98# a_1034_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 a_225_81# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VPWR a_1234_119# a_1367_93# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 a_1824_74# a_835_98# a_2078_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VPWR SCE a_340_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X13 VPWR RESET_B a_1234_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X14 VGND a_835_98# a_1034_392# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 a_2082_446# a_1824_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 a_1234_119# a_835_98# a_1332_457# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 a_225_81# a_27_74# a_312_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_27_74# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X19 VGND a_1234_119# a_1367_93# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 Q a_2492_392# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 a_340_464# D a_390_81# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X22 a_1824_74# a_1034_392# a_2037_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X23 VPWR RESET_B a_390_81# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X24 a_1367_93# a_835_98# a_1824_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X25 a_2037_508# a_2082_446# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X26 a_1320_119# a_1367_93# a_1397_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 VPWR a_1824_74# a_2492_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X28 a_27_74# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 a_390_81# SCE a_545_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 a_1332_457# a_1367_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X31 a_835_98# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X32 a_1234_119# a_1034_392# a_1320_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X33 a_2078_74# a_2082_446# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 VGND a_2492_392# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X35 a_390_81# a_1034_392# a_1234_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X36 a_545_81# SCD a_225_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X37 VPWR RESET_B a_2082_446# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X38 VGND RESET_B a_2242_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X39 VGND a_1824_74# a_2492_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X40 a_1397_119# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X41 a_1367_93# a_1034_392# a_1824_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__sdfrtp_4

not to scale


.subckt sky130_fd_sc_ls__sdfrtp_4 CLK D RESET_B SCD SCE VGND VNB VPB VPWR Q
X0 Q a_2339_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_514_464# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_837_98# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 VPWR a_2339_74# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_415_81# a_27_74# a_514_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 a_415_81# SCE a_572_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR RESET_B a_2003_48# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_312_81# D a_415_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR a_837_98# a_1034_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 a_1367_112# a_1034_392# a_1745_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 a_2339_74# a_1745_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X11 Q a_2339_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_1745_74# a_1034_392# a_1982_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X13 a_1367_112# a_837_98# a_1745_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X14 VPWR SCE a_340_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X15 VPWR RESET_B a_1236_138# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 a_415_81# a_1034_392# a_1236_138# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 a_1745_74# a_837_98# a_1955_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_225_81# a_27_74# a_312_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 Q a_2339_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 a_27_74# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X21 a_1955_74# a_2003_48# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 a_1236_138# a_1034_392# a_1322_138# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 a_837_98# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X24 Q a_2339_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X25 VGND RESET_B a_2141_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 a_1236_138# a_837_98# a_1342_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X27 a_1342_463# a_1367_112# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X28 a_2141_74# a_1745_74# a_2003_48# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 a_340_464# D a_415_81# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X30 a_415_81# a_837_98# a_1236_138# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X31 VPWR RESET_B a_415_81# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X32 VPWR a_1745_74# a_2339_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X33 a_1322_138# a_1367_112# a_1397_138# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 a_572_81# SCD a_225_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X35 VGND a_1236_138# a_1367_112# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X36 a_27_74# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X37 a_225_81# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X38 VPWR a_1236_138# a_1367_112# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X39 a_2339_74# a_1745_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X40 VGND a_837_98# a_1034_392# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X41 a_1982_508# a_2003_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X42 VPWR a_2339_74# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X43 VGND a_2339_74# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X44 a_2003_48# a_1745_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X45 a_1397_138# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X46 VGND a_2339_74# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends