NAME

sdfrbp from sky130_fd_sc_ls

DESCRIPTION

Scan delay flop, inverted reset, non-inverted clock, complementary outputs.

FUNCTION

VERILOG

"sky130_fd_sc_ls__sdfrbp"
/*
*/


`ifndef SKY130_FD_SC_LS__SDFRBP_FUNCTIONAL_V
`define SKY130_FD_SC_LS__SDFRBP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_mux_2to1/sky130_fd_sc_ls__udp_mux_2to1.v"
`include "../../models/udp_dff_pr/sky130_fd_sc_ls__udp_dff_pr.v"

`celldefine
module sky130_fd_sc_ls__sdfrbp (
    Q      ,
    Q_N    ,
    CLK    ,
    D      ,
    SCD    ,
    SCE    ,
    RESET_B
);

    // Module ports
    output Q      ;
    output Q_N    ;
    input  CLK    ;
    input  D      ;
    input  SCD    ;
    input  SCE    ;
    input  RESET_B;

    // Local signals
    wire buf_Q  ;
    wire RESET  ;
    wire mux_out;

    //                            Delay       Name       Output   Other arguments
    not                                       not0      (RESET  , RESET_B            );
    sky130_fd_sc_ls__udp_mux_2to1             mux_2to10 (mux_out, D, SCD, SCE        );
    sky130_fd_sc_ls__udp_dff$PR   `UNIT_DELAY dff0      (buf_Q  , mux_out, CLK, RESET);
    buf                                       buf0      (Q      , buf_Q              );
    not                                       not1      (Q_N    , buf_Q              );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__SDFRBP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__sdfrbp_1

not to scale



.subckt sky130_fd_sc_ls__sdfrbp_1 CLK D RESET_B SCD SCE VGND VNB VPB VPWR Q Q_N
X0 a_409_81# a_27_74# a_512_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_512_464# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_835_98# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 a_1747_74# a_1034_392# a_1969_489# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 VPWR a_1747_74# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 a_409_81# a_835_98# a_1234_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_2513_424# a_1747_74# VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X7 VGND a_1234_119# a_1367_93# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 a_2124_74# a_1747_74# a_2008_48# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_312_81# D a_409_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VPWR a_835_98# a_1034_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 a_225_81# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VPWR a_1234_119# a_1367_93# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X13 a_2008_48# a_1747_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X14 VPWR RESET_B a_1234_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 VGND a_835_98# a_1034_392# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 a_1234_119# a_835_98# a_1332_457# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 a_225_81# a_27_74# a_312_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_2513_424# a_1747_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X19 a_1969_489# a_2008_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 VGND RESET_B a_2124_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 a_338_464# D a_409_81# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X22 a_1367_93# a_1034_392# a_1747_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X23 VGND a_2513_424# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X24 a_1367_93# a_835_98# a_1747_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X25 a_27_74# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X26 VGND a_1747_74# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X27 VPWR RESET_B a_409_81# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X28 a_1320_119# a_1367_93# a_1397_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 a_27_74# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 a_409_81# SCE a_545_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X31 VPWR a_2513_424# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X32 VPWR RESET_B a_2008_48# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X33 a_1747_74# a_835_98# a_1966_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 a_1332_457# a_1367_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X35 a_835_98# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X36 a_1234_119# a_1034_392# a_1320_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X37 VPWR SCE a_338_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X38 a_409_81# a_1034_392# a_1234_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X39 a_545_81# SCD a_225_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X40 a_1397_119# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X41 a_1966_74# a_2008_48# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_ls__sdfrbp_2

not to scale


.subckt sky130_fd_sc_ls__sdfrbp_2 CLK D RESET_B SCD SCE VGND VNB VPB VPWR Q Q_N
X0 a_2000_74# a_2006_373# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR SCE a_307_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_538_464# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_852_119# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 a_223_79# a_27_79# a_310_79# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR a_2604_392# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 VGND a_2604_392# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_388_79# a_852_119# a_1223_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 Q a_2604_392# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VGND a_1223_119# a_1370_290# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 Q_N a_1790_75# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 VPWR a_852_119# a_1025_119# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 a_1370_290# a_1025_119# a_1790_75# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 a_307_464# D a_388_79# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X14 a_1223_119# a_852_119# a_1325_457# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 a_1401_119# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_27_79# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 a_388_79# a_1025_119# a_1223_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X18 a_1223_119# a_1025_119# a_1323_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 VGND RESET_B a_2158_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 a_2006_373# a_1790_75# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X21 VGND a_1790_75# a_2604_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X22 a_388_79# SCE a_547_79# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 a_388_79# a_27_79# a_538_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X24 Q_N a_1790_75# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X25 a_27_79# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X26 VPWR RESET_B a_1223_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X27 a_547_79# SCD a_223_79# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 VGND a_1790_75# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X29 VPWR a_1223_119# a_1370_290# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X30 Q a_2604_392# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X31 a_1323_119# a_1370_290# a_1401_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X32 a_1955_471# a_2006_373# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X33 a_2158_74# a_1790_75# a_2006_373# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 VPWR RESET_B a_388_79# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X35 VGND a_852_119# a_1025_119# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X36 a_1325_457# a_1370_290# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X37 VPWR RESET_B a_2006_373# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X38 VPWR a_1790_75# a_2604_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X39 a_1790_75# a_1025_119# a_1955_471# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X40 a_1790_75# a_852_119# a_2000_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X41 a_223_79# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X42 a_852_119# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X43 a_1370_290# a_852_119# a_1790_75# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X44 a_310_79# D a_388_79# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X45 VPWR a_1790_75# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends