NAME

or4bb from sky130_fd_sc_ls

DESCRIPTION

4-input OR, first two inputs inverted.

FUNCTION

VERILOG

"sky130_fd_sc_ls__or4bb"
/*
*/


`ifndef SKY130_FD_SC_LS__OR4BB_FUNCTIONAL_V
`define SKY130_FD_SC_LS__OR4BB_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__or4bb (
    X  ,
    A  ,
    B  ,
    C_N,
    D_N
);

    // Module ports
    output X  ;
    input  A  ;
    input  B  ;
    input  C_N;
    input  D_N;

    // Local signals
    wire nand0_out;
    wire or0_out_X;

    //   Name   Output     Other arguments
    nand nand0 (nand0_out, D_N, C_N       );
    or   or0   (or0_out_X, B, A, nand0_out);
    buf  buf0  (X        , or0_out_X      );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__OR4BB_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__or4bb_1

not to scale



.subckt sky130_fd_sc_ls__or4bb_1 A B C_N D_N VGND VNB VPB VPWR X
X0 a_357_378# a_27_424# VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X1 VPWR a_357_378# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VGND D_N a_216_424# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X3 a_357_378# A VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X4 VGND a_357_378# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_626_378# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 a_27_424# C_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X7 VGND a_216_424# a_357_378# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X8 a_357_378# a_216_424# a_446_378# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 VGND B a_357_378# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X10 a_446_378# a_27_424# a_530_378# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 a_27_424# C_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X12 VPWR D_N a_216_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X13 a_530_378# B a_626_378# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__or4bb_2

not to scale


.subckt sky130_fd_sc_ls__or4bb_2 A B C_N D_N VGND VNB VPB VPWR X
X0 a_182_270# a_548_110# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 X a_182_270# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_27_424# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X3 VGND a_27_424# a_182_270# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 a_182_270# A VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 VPWR C_N a_548_110# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X6 VGND B a_182_270# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X7 a_689_392# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 a_503_392# a_548_110# a_587_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 a_182_270# a_27_424# a_503_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 VPWR a_182_270# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 a_27_424# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X12 a_587_392# B a_689_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X13 VGND a_182_270# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 VGND C_N a_548_110# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X15 X a_182_270# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__or4bb_4

not to scale


.subckt sky130_fd_sc_ls__or4bb_4 A B C_N D_N VGND VNB VPB VPWR X
X0 a_1273_392# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 a_1273_392# B a_1060_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 X a_193_277# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_193_277# a_27_94# a_791_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 VGND a_193_277# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 X a_193_277# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 VPWR A a_1273_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_193_277# a_678_368# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_791_392# a_678_368# a_1060_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 a_27_94# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 VPWR a_193_277# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 VGND C_N a_678_368# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 a_27_94# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X13 a_1060_392# a_678_368# a_791_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X14 a_1060_392# B a_1273_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 a_193_277# A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 VGND a_193_277# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 VGND B a_193_277# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 VPWR C_N a_678_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 a_791_392# a_27_94# a_193_277# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X20 VGND a_27_94# a_193_277# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 VPWR a_193_277# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X22 X a_193_277# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X23 X a_193_277# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends