NAME

or4b from sky130_fd_sc_ls

DESCRIPTION

4-input OR, first input inverted.

FUNCTION

VERILOG

"sky130_fd_sc_ls__or4b"
/*
*/


`ifndef SKY130_FD_SC_LS__OR4B_FUNCTIONAL_V
`define SKY130_FD_SC_LS__OR4B_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__or4b (
    X  ,
    A  ,
    B  ,
    C  ,
    D_N
);

    // Module ports
    output X  ;
    input  A  ;
    input  B  ;
    input  C  ;
    input  D_N;

    // Local signals
    wire not0_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    not not0 (not0_out , D_N              );
    or  or0  (or0_out_X, not0_out, C, B, A);
    buf buf0 (X        , or0_out_X        );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__OR4B_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__or4b_1

not to scale



.subckt sky130_fd_sc_ls__or4b_1 A B C D_N VGND VNB VPB VPWR X
X0 VGND a_228_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_524_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 a_228_74# a_27_74# a_356_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 VGND a_27_74# a_228_74# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X4 a_228_74# C VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X5 a_356_368# C a_440_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 VPWR a_228_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 VGND B a_228_74# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X8 a_27_74# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X9 a_228_74# A VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X10 a_27_74# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X11 a_440_368# B a_524_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__or4b_2

not to scale


.subckt sky130_fd_sc_ls__or4b_2 A B C D_N VGND VNB VPB VPWR X
X0 X a_190_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 VPWR a_190_48# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VGND C a_190_48# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 a_27_368# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X4 VGND A a_190_48# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 a_27_368# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X6 a_452_392# B a_536_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 VPWR A a_452_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 X a_190_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_638_392# a_27_368# a_190_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 a_190_48# a_27_368# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 a_536_392# C a_638_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 VGND a_190_48# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 a_190_48# B VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends

sky130_fd_sc_ls__or4b_4

not to scale


.subckt sky130_fd_sc_ls__or4b_4 A B C D_N VGND VNB VPB VPWR X
X0 a_496_392# C a_27_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 X a_27_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 X a_27_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_496_392# a_563_48# a_27_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 a_27_74# C VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VGND a_27_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 VGND a_563_48# a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 X a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 VPWR A a_116_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 a_563_48# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 X a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 VGND A a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_27_74# a_563_48# a_496_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X13 a_27_392# B a_116_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X14 a_116_392# B a_27_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 VGND a_27_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 a_27_74# B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 a_563_48# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X18 a_27_392# C a_496_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 VPWR a_27_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 a_116_392# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X21 VPWR a_27_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends