NAME

or4 from sky130_fd_sc_ls

DESCRIPTION

4-input OR.

FUNCTION

VERILOG

"sky130_fd_sc_ls__or4"
/*
*/


`ifndef SKY130_FD_SC_LS__OR4_FUNCTIONAL_V
`define SKY130_FD_SC_LS__OR4_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__or4 (
    X,
    A,
    B,
    C,
    D
);

    // Module ports
    output X;
    input  A;
    input  B;
    input  C;
    input  D;

    // Local signals
    wire or0_out_X;

    //  Name  Output     Other arguments
    or  or0  (or0_out_X, D, C, B, A     );
    buf buf0 (X        , or0_out_X      );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__OR4_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__or4_1

not to scale



.subckt sky130_fd_sc_ls__or4_1 A B C D VGND VNB VPB VPWR X
X0 VPWR a_44_392# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_44_392# C VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X2 a_44_392# A VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X3 a_44_392# D a_133_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 a_217_392# B a_331_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 a_133_392# C a_217_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 VGND D a_44_392# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X7 VGND B a_44_392# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X8 VGND a_44_392# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_331_392# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__or4_2

not to scale


.subckt sky130_fd_sc_ls__or4_2 A B C D VGND VNB VPB VPWR X
X0 VGND B a_85_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 a_85_392# A VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 a_85_392# C VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 VPWR a_85_392# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_174_392# C a_258_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 VGND D a_85_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 a_85_392# D a_174_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_258_392# B a_342_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 VGND a_85_392# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 X a_85_392# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 X a_85_392# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 a_342_392# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__or4_4

not to scale


.subckt sky130_fd_sc_ls__or4_4 A B C D VGND VNB VPB VPWR X
X0 a_83_264# D VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 VPWR a_83_264# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_588_392# B a_499_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 VPWR A a_588_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 a_962_392# C a_499_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 a_499_392# B a_588_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 a_962_392# D a_83_264# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 VPWR a_83_264# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 VGND a_83_264# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VGND a_83_264# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 X a_83_264# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_499_392# C a_962_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 VGND B a_83_264# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 a_83_264# A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 X a_83_264# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 X a_83_264# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 X a_83_264# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 VGND C a_83_264# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 a_588_392# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 a_83_264# D a_962_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends