NAME

or2b from sky130_fd_sc_ls

DESCRIPTION

2-input OR, first input inverted.

FUNCTION

VERILOG

"sky130_fd_sc_ls__or2b"
/*
*/


`ifndef SKY130_FD_SC_LS__OR2B_FUNCTIONAL_V
`define SKY130_FD_SC_LS__OR2B_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__or2b (
    X  ,
    A  ,
    B_N
);

    // Module ports
    output X  ;
    input  A  ;
    input  B_N;

    // Local signals
    wire not0_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    not not0 (not0_out , B_N            );
    or  or0  (or0_out_X, not0_out, A    );
    buf buf0 (X        , or0_out_X      );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__OR2B_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__or2b_1

not to scale



.subckt sky130_fd_sc_ls__or2b_1 A B_N VGND VNB VPB VPWR X
X0 a_353_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 VGND a_27_112# a_264_368# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X2 a_264_368# a_27_112# a_353_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 VGND a_264_368# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_27_112# B_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X5 a_264_368# A VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X6 a_27_112# B_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X7 VPWR a_264_368# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__or2b_2

not to scale


.subckt sky130_fd_sc_ls__or2b_2 A B_N VGND VNB VPB VPWR X
X0 VPWR a_187_48# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 X a_187_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_27_368# B_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 X a_187_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_27_368# B_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X5 a_470_368# a_27_368# a_187_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 a_187_48# a_27_368# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X7 VPWR A a_470_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 VGND A a_187_48# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 VGND a_187_48# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__or2b_4

not to scale


.subckt sky130_fd_sc_ls__or2b_4 A B_N VGND VNB VPB VPWR X
X0 a_81_296# A VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 VPWR a_81_296# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 X a_81_296# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VPWR A a_489_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 VPWR a_81_296# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 X a_81_296# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_489_392# a_676_48# a_81_296# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 VGND B_N a_676_48# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 X a_81_296# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_489_392# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 X a_81_296# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 VPWR B_N a_676_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 VGND a_676_48# a_81_296# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 VGND a_81_296# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 VGND A a_81_296# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X15 a_81_296# a_676_48# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 VGND a_81_296# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 a_81_296# a_676_48# a_489_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends