NAME

or2 from sky130_fd_sc_ls

DESCRIPTION

2-input OR.

FUNCTION

VERILOG

"sky130_fd_sc_ls__or2"
/*
*/


`ifndef SKY130_FD_SC_LS__OR2_FUNCTIONAL_V
`define SKY130_FD_SC_LS__OR2_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__or2 (
    X,
    A,
    B
);

    // Module ports
    output X;
    input  A;
    input  B;

    // Local signals
    wire or0_out_X;

    //  Name  Output     Other arguments
    or  or0  (or0_out_X, B, A           );
    buf buf0 (X        , or0_out_X      );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__OR2_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__or2_1

not to scale



.subckt sky130_fd_sc_ls__or2_1 A B VGND VNB VPB VPWR X
X0 a_63_368# A VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X1 VPWR a_63_368# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_152_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 a_63_368# B a_152_368# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X4 VGND a_63_368# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VGND B a_63_368# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
.ends

sky130_fd_sc_ls__or2_2

not to scale


.subckt sky130_fd_sc_ls__or2_2 A B VGND VNB VPB VPWR X
X0 X a_27_368# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_27_368# A VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 a_27_368# B a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 X a_27_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VGND B a_27_368# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 VPWR a_27_368# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_114_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 VGND a_27_368# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__or2_4

not to scale


.subckt sky130_fd_sc_ls__or2_4 A B VGND VNB VPB VPWR X
X0 a_493_388# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 X a_83_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VPWR a_83_260# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 X a_83_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_493_388# B a_83_260# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 VPWR a_83_260# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 VGND a_83_260# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_83_260# B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 X a_83_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 X a_83_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_83_260# B a_493_388# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 VGND a_83_260# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 VPWR A a_493_388# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X13 VGND A a_83_260# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends