NAME

o311a from sky130_fd_sc_ls

DESCRIPTION

3-input OR into 3-input AND.

FUNCTION

X = ((A1 | A2 | A3) & B1 & C1)

VERILOG

"sky130_fd_sc_ls__o311a"
/*
*/


`ifndef SKY130_FD_SC_LS__O311A_FUNCTIONAL_V
`define SKY130_FD_SC_LS__O311A_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__o311a (
    X ,
    A1,
    A2,
    A3,
    B1,
    C1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  A3;
    input  B1;
    input  C1;

    // Local signals
    wire or0_out   ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    or  or0  (or0_out   , A2, A1, A3     );
    and and0 (and0_out_X, or0_out, B1, C1);
    buf buf0 (X         , and0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__O311A_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__o311a_1

not to scale



.subckt sky130_fd_sc_ls__o311a_1 A1 A2 A3 B1 C1 VGND VNB VPB VPWR X
X0 a_209_74# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 VGND a_31_387# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_31_387# C1 a_131_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 a_536_387# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 a_31_387# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 a_131_74# B1 a_209_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 a_209_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X7 VGND A3 a_209_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 VPWR a_31_387# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_31_387# A3 a_320_387# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 a_320_387# A2 a_536_387# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 VPWR B1 a_31_387# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__o311a_2

not to scale


.subckt sky130_fd_sc_ls__o311a_2 A1 A2 A3 B1 C1 VGND VNB VPB VPWR X
X0 VPWR a_32_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_32_74# C1 a_135_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_135_74# B1 a_219_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VGND a_32_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 X a_32_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_219_74# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 X a_32_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 VGND A2 a_219_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_32_74# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 a_444_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_360_368# A2 a_444_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 a_219_74# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_32_74# A3 a_360_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 VPWR B1 a_32_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__o311a_4

not to scale


.subckt sky130_fd_sc_ls__o311a_4 A1 A2 A3 B1 C1 VGND VNB VPB VPWR X
X0 VPWR A1 a_1338_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 VPWR a_83_244# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_1034_392# A2 a_1338_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 a_83_244# C1 a_651_78# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 a_564_78# B1 a_651_78# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 X a_83_244# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_564_78# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X7 VPWR B1 a_83_244# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 VPWR a_83_244# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 VGND a_83_244# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 a_83_244# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 a_83_244# A3 a_1034_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 a_1338_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X13 a_564_78# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X14 VGND a_83_244# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 VPWR C1 a_83_244# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X16 a_1338_392# A2 a_1034_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X17 a_651_78# B1 a_564_78# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X18 a_564_78# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 VGND A2 a_564_78# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X20 X a_83_244# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 X a_83_244# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_83_244# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X23 VGND A1 a_564_78# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X24 X a_83_244# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X25 a_1034_392# A3 a_83_244# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X26 a_651_78# C1 a_83_244# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X27 VGND A3 a_564_78# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends