NAME

o2bb2ai from sky130_fd_sc_ls

DESCRIPTION

2-input NAND and 2-input OR into 2-input NAND.

FUNCTION

Y = !(!(A1 & A2) & (B1 | B2))

VERILOG

"sky130_fd_sc_ls__o2bb2ai"
/*
*/


`ifndef SKY130_FD_SC_LS__O2BB2AI_FUNCTIONAL_V
`define SKY130_FD_SC_LS__O2BB2AI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__o2bb2ai (
    Y   ,
    A1_N,
    A2_N,
    B1  ,
    B2
);

    // Module ports
    output Y   ;
    input  A1_N;
    input  A2_N;
    input  B1  ;
    input  B2  ;

    // Local signals
    wire nand0_out  ;
    wire or0_out    ;
    wire nand1_out_Y;

    //   Name   Output       Other arguments
    nand nand0 (nand0_out  , A2_N, A1_N        );
    or   or0   (or0_out    , B2, B1            );
    nand nand1 (nand1_out_Y, nand0_out, or0_out);
    buf  buf0  (Y          , nand1_out_Y       );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__O2BB2AI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__o2bb2ai_1

not to scale



.subckt sky130_fd_sc_ls__o2bb2ai_1 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
X0 a_490_368# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_397_74# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VGND B1 a_397_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_131_383# A2_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X4 VPWR A1_N a_131_383# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X5 Y B2 a_490_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 Y a_131_383# a_397_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_114_74# A2_N a_131_383# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 VGND A1_N a_114_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 VPWR a_131_383# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__o2bb2ai_2

not to scale


.subckt sky130_fd_sc_ls__o2bb2ai_2 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
X0 a_133_387# A2_N a_134_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 VGND B1 a_518_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VPWR A2_N a_133_387# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 Y B2 a_796_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VGND A1_N a_134_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 VGND B2 a_518_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 VPWR B1 a_796_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_518_74# a_133_387# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 Y a_133_387# a_518_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_796_368# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_134_74# A1_N VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 a_518_74# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 Y a_133_387# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 a_133_387# A1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X14 a_518_74# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 a_133_387# A2_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X16 VPWR A1_N a_133_387# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X17 a_134_74# A2_N a_133_387# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X18 a_796_368# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 VPWR a_133_387# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__o2bb2ai_4

not to scale


.subckt sky130_fd_sc_ls__o2bb2ai_4 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
X0 VPWR B1 a_1215_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VPWR A2_N a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VPWR B1 a_1215_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 Y a_114_368# a_857_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 VPWR A1_N a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 a_857_74# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 Y B2 a_1215_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 VGND A1_N a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_27_74# A2_N a_114_368# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_114_368# A2_N a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 VPWR a_114_368# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 Y B2 a_1215_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 VPWR A1_N a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 a_114_368# A2_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 Y a_114_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 a_857_74# a_114_368# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 a_114_368# A2_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 a_1215_368# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X18 a_114_368# A2_N a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 VGND B2 a_857_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 a_857_74# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 VGND B1 a_857_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_114_368# A1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X23 a_1215_368# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 a_114_368# A1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X25 a_1215_368# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X26 a_1215_368# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X27 a_27_74# A1_N VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X28 Y a_114_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X29 a_857_74# a_114_368# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X30 VGND B2 a_857_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X31 a_27_74# A1_N VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X32 a_857_74# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X33 VGND B1 a_857_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X34 VPWR a_114_368# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X35 VGND A1_N a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X36 Y a_114_368# a_857_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X37 a_857_74# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X38 a_27_74# A2_N a_114_368# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X39 VPWR A2_N a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends