NAME

o221ai from sky130_fd_sc_ls

DESCRIPTION

2-input OR into first two inputs of 3-input NAND.

FUNCTION

Y = !((A1 | A2) & (B1 | B2) & C1)

VERILOG

"sky130_fd_sc_ls__o221ai"
/*
*/


`ifndef SKY130_FD_SC_LS__O221AI_FUNCTIONAL_V
`define SKY130_FD_SC_LS__O221AI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__o221ai (
    Y ,
    A1,
    A2,
    B1,
    B2,
    C1
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  B1;
    input  B2;
    input  C1;

    // Local signals
    wire or0_out    ;
    wire or1_out    ;
    wire nand0_out_Y;

    //   Name   Output       Other arguments
    or   or0   (or0_out    , B2, B1              );
    or   or1   (or1_out    , A2, A1              );
    nand nand0 (nand0_out_Y, or1_out, or0_out, C1);
    buf  buf0  (Y          , nand0_out_Y         );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__O221AI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__o221ai_1

not to scale



.subckt sky130_fd_sc_ls__o221ai_1 A1 A2 B1 B2 C1 VGND VNB VPB VPWR Y
X0 a_239_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_324_368# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_114_74# B2 a_239_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VPWR B1 a_324_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_522_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 a_239_74# B1 a_114_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 VGND A1 a_239_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 Y C1 a_114_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 Y A2 a_522_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 Y C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__o221ai_2

not to scale


.subckt sky130_fd_sc_ls__o221ai_2 A1 A2 B1 B2 C1 VGND VNB VPB VPWR Y
X0 a_376_368# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_776_368# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_311_85# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VPWR C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 Y C1 a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 Y C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 Y A2 a_776_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_311_85# B1 a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 Y B2 a_376_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_27_74# B2 a_311_85# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 a_311_85# B2 a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_776_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 VGND A1 a_311_85# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 VGND A2 a_311_85# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_27_74# C1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 a_376_368# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 VPWR A1 a_776_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 a_27_74# B1 a_311_85# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 a_311_85# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 VPWR B1 a_376_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__o221ai_4

not to scale


.subckt sky130_fd_sc_ls__o221ai_4 A1 A2 B1 B2 C1 VGND VNB VPB VPWR Y
X0 a_508_368# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VPWR B1 a_508_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_1288_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 VPWR A1 a_1288_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_483_74# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VGND A2 a_483_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 Y A2 a_1288_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_27_84# C1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 Y C1 a_27_84# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 Y A2 a_1288_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 Y C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 Y B2 a_508_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 a_508_368# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 a_483_74# B2 a_27_84# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_483_74# B1 a_27_84# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 VGND A2 a_483_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 a_483_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 VPWR C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X18 a_27_84# B2 a_483_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 a_27_84# B1 a_483_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 a_483_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 a_508_368# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X22 a_483_74# B2 a_27_84# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 a_508_368# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 VPWR A1 a_1288_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X25 a_27_84# C1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X26 a_27_84# B2 a_483_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X27 a_483_74# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X28 VPWR B1 a_508_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X29 VGND A1 a_483_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X30 VPWR C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X31 a_1288_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X32 a_27_84# B1 a_483_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X33 a_1288_368# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X34 a_483_74# B1 a_27_84# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X35 Y C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X36 a_1288_368# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X37 VGND A1 a_483_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X38 Y C1 a_27_84# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X39 Y B2 a_508_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends