NAME

o221a from sky130_fd_sc_ls

DESCRIPTION

2-input OR into first two inputs of 3-input AND.

FUNCTION

X = ((A1 | A2) & (B1 | B2) & C1)

VERILOG

"sky130_fd_sc_ls__o221a"
/*
*/


`ifndef SKY130_FD_SC_LS__O221A_FUNCTIONAL_V
`define SKY130_FD_SC_LS__O221A_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__o221a (
    X ,
    A1,
    A2,
    B1,
    B2,
    C1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  B1;
    input  B2;
    input  C1;

    // Local signals
    wire or0_out   ;
    wire or1_out   ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    or  or0  (or0_out   , B2, B1              );
    or  or1  (or1_out   , A2, A1              );
    and and0 (and0_out_X, or0_out, or1_out, C1);
    buf buf0 (X         , and0_out_X          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__O221A_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__o221a_1

not to scale



.subckt sky130_fd_sc_ls__o221a_1 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 VPWR A1 a_264_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 VGND A1 a_245_94# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 VPWR C1 a_83_264# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 X a_83_264# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_456_74# B2 a_245_94# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 a_245_94# B1 a_456_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 a_83_264# B2 a_462_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 X a_83_264# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 a_462_392# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 a_245_94# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 a_264_392# A2 a_83_264# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 a_456_74# C1 a_83_264# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends

sky130_fd_sc_ls__o221a_2

not to scale


.subckt sky130_fd_sc_ls__o221a_2 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 a_332_368# B2 a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 a_27_368# A2 a_530_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 a_264_74# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VGND a_27_368# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_530_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 X a_27_368# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_165_74# B1 a_264_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_27_368# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 a_27_368# C1 a_165_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VPWR a_27_368# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 VPWR B1 a_332_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 VGND A2 a_264_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_264_74# B2 a_165_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 X a_27_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__o221a_4

not to scale


.subckt sky130_fd_sc_ls__o221a_4 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 VPWR C1 a_114_125# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 X a_114_125# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_297_387# B2 a_114_125# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 VGND a_114_125# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_27_125# C1 a_114_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 VGND a_114_125# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_763_387# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_763_387# A2 a_114_125# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 a_114_125# A2 a_763_387# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 a_300_125# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 X a_114_125# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 X a_114_125# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 a_114_125# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X13 X a_114_125# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_114_125# B2 a_297_387# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 VPWR A1 a_763_387# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X16 a_114_125# C1 a_27_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X17 VGND A1 a_300_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X18 a_300_125# B2 a_27_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 a_27_125# B2 a_300_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X20 a_27_125# B1 a_300_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X21 a_300_125# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X22 a_300_125# B1 a_27_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X23 VPWR a_114_125# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 VPWR a_114_125# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X25 VPWR B1 a_297_387# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X26 a_297_387# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X27 VGND A2 a_300_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends