NAME

o21bai from sky130_fd_sc_ls

DESCRIPTION

2-input OR into first input of 2-input NAND, 2nd iput inverted.

FUNCTION

Y = !((A1 | A2) & !B1_N)

VERILOG

"sky130_fd_sc_ls__o21bai"
/*
*/


`ifndef SKY130_FD_SC_LS__O21BAI_FUNCTIONAL_V
`define SKY130_FD_SC_LS__O21BAI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__o21bai (
    Y   ,
    A1  ,
    A2  ,
    B1_N
);

    // Module ports
    output Y   ;
    input  A1  ;
    input  A2  ;
    input  B1_N;

    // Local signals
    wire b          ;
    wire or0_out    ;
    wire nand0_out_Y;

    //   Name   Output       Other arguments
    not  not0  (b          , B1_N           );
    or   or0   (or0_out    , A2, A1         );
    nand nand0 (nand0_out_Y, b, or0_out     );
    buf  buf0  (Y          , nand0_out_Y    );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__O21BAI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__o21bai_1

not to scale



.subckt sky130_fd_sc_ls__o21bai_1 A1 A2 B1_N VGND VNB VPB VPWR Y
X0 a_27_74# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X1 a_27_74# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X2 a_308_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 Y A2 a_395_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_395_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 Y a_27_74# a_308_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 VGND A1 a_308_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 VPWR a_27_74# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__o21bai_2

not to scale


.subckt sky130_fd_sc_ls__o21bai_2 A1 A2 B1_N VGND VNB VPB VPWR Y
X0 a_507_368# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VGND A1 a_225_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_225_74# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_225_74# a_27_74# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 VPWR a_27_74# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 Y a_27_74# a_225_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 Y A2 a_507_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 VPWR A1 a_507_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 Y a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 VGND A2 a_225_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 a_225_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_27_74# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 a_27_74# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X13 a_507_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__o21bai_4

not to scale


.subckt sky130_fd_sc_ls__o21bai_4 A1 A2 B1_N VGND VNB VPB VPWR Y
X0 VGND A1 a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_828_48# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VPWR B1_N a_828_48# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 a_28_368# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_28_368# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 VPWR a_828_48# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_27_74# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_28_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 VGND A1 a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 Y A2 a_28_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 Y a_828_48# a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_27_74# a_828_48# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 Y a_828_48# a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 a_28_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 a_828_48# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X15 a_27_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 VGND A2 a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 Y A2 a_28_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X18 Y a_828_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 a_27_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 VPWR A1 a_28_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 a_27_74# a_828_48# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 VGND A2 a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 a_27_74# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X24 VPWR A1 a_28_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends