NAME

o21ba from sky130_fd_sc_ls

DESCRIPTION

2-input OR into first input of 2-input AND, 2nd input inverted.

FUNCTION

X = ((A1 | A2) & !B1_N)

VERILOG

"sky130_fd_sc_ls__o21ba"
/*
*/


`ifndef SKY130_FD_SC_LS__O21BA_FUNCTIONAL_V
`define SKY130_FD_SC_LS__O21BA_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__o21ba (
    X   ,
    A1  ,
    A2  ,
    B1_N
);

    // Module ports
    output X   ;
    input  A1  ;
    input  A2  ;
    input  B1_N;

    // Local signals
    wire nor0_out  ;
    wire nor1_out_X;

    //  Name  Output      Other arguments
    nor nor0 (nor0_out  , A1, A2         );
    nor nor1 (nor1_out_X, B1_N, nor0_out );
    buf buf0 (X         , nor1_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__O21BA_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__o21ba_1

not to scale



.subckt sky130_fd_sc_ls__o21ba_1 A1 A2 B1_N VGND VNB VPB VPWR X
X0 VGND a_200_392# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 VPWR a_200_392# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VGND A2 a_27_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 a_281_244# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X4 a_27_74# a_281_244# a_200_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 VPWR A1 a_116_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 a_116_392# A2 a_200_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_281_244# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X8 a_27_74# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 a_200_392# a_281_244# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__o21ba_2

not to scale


.subckt sky130_fd_sc_ls__o21ba_2 A1 A2 B1_N VGND VNB VPB VPWR X
X0 VGND a_177_48# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 X a_177_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VPWR a_177_48# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_582_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 a_27_74# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X5 a_27_74# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X6 a_177_48# a_27_74# a_487_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 VPWR a_27_74# a_177_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 a_487_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 X a_177_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 VGND A1 a_487_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_177_48# A2 a_582_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__o21ba_4

not to scale


.subckt sky130_fd_sc_ls__o21ba_4 A1 A2 B1_N VGND VNB VPB VPWR X
X0 a_892_392# A2 a_193_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 a_193_48# a_27_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X2 a_618_94# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 a_193_48# A2 a_892_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 VPWR a_193_48# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 VGND A2 a_618_94# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 VPWR a_193_48# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 X a_193_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_27_368# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 VPWR A1 a_892_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 a_618_94# a_27_368# a_193_48# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 a_618_94# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 a_193_48# a_27_368# a_618_94# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 VPWR a_27_368# a_193_48# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X14 a_892_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 VGND a_193_48# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 X a_193_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 X a_193_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X18 X a_193_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 a_27_368# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 VGND A1 a_618_94# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X21 VGND a_193_48# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends