NAME

o211ai from sky130_fd_sc_ls

DESCRIPTION

2-input OR into first input of 3-input NAND.

FUNCTION

Y = !((A1 | A2) & B1 & C1)

VERILOG

"sky130_fd_sc_ls__o211ai"
/*
*/


`ifndef SKY130_FD_SC_LS__O211AI_FUNCTIONAL_V
`define SKY130_FD_SC_LS__O211AI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__o211ai (
    Y ,
    A1,
    A2,
    B1,
    C1
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  B1;
    input  C1;

    // Local signals
    wire or0_out    ;
    wire nand0_out_Y;

    //   Name   Output       Other arguments
    or   or0   (or0_out    , A2, A1         );
    nand nand0 (nand0_out_Y, C1, or0_out, B1);
    buf  buf0  (Y          , nand0_out_Y    );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__O211AI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__o211ai_1

not to scale



.subckt sky130_fd_sc_ls__o211ai_1 A1 A2 B1 C1 VGND VNB VPB VPWR Y
X0 a_31_74# B1 a_311_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 VPWR A1 a_116_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_116_368# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VGND A2 a_31_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_31_74# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_311_74# C1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 VPWR C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__o211ai_2

not to scale


.subckt sky130_fd_sc_ls__o211ai_2 A1 A2 B1 C1 VGND VNB VPB VPWR Y
X0 VGND A2 a_303_84# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 VGND A1 a_303_84# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 Y C1 a_30_84# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VPWR C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_303_84# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_303_84# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 Y A2 a_505_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 Y C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_303_84# B1 a_30_84# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 a_30_84# C1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_30_84# B1 a_303_84# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_505_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 VPWR B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 a_505_368# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 VPWR A1 a_505_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__o211ai_4

not to scale


.subckt sky130_fd_sc_ls__o211ai_4 A1 A2 B1 C1 VGND VNB VPB VPWR Y
X0 a_30_368# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_27_74# B1 a_834_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_30_368# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_834_74# C1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_27_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 Y A2 a_30_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 Y C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 Y C1 a_834_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_30_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_834_74# B1 a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_834_74# C1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_834_74# B1 a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 Y A2 a_30_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VGND A1 a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 a_30_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 Y C1 a_834_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 VPWR C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X18 a_27_74# B1 a_834_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 a_27_74# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 VGND A1 a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 a_27_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 VPWR B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X23 a_27_74# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X24 VGND A2 a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X25 VPWR A1 a_30_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X26 VPWR A1 a_30_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X27 VGND A2 a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends