NAME

o2111a from sky130_fd_sc_ls

DESCRIPTION

2-input OR into first input of 4-input AND.

FUNCTION

X = ((A1 | A2) & B1 & C1 & D1)

VERILOG

"sky130_fd_sc_ls__o2111a"
/*
*/


`ifndef SKY130_FD_SC_LS__O2111A_FUNCTIONAL_V
`define SKY130_FD_SC_LS__O2111A_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__o2111a (
    X ,
    A1,
    A2,
    B1,
    C1,
    D1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  B1;
    input  C1;
    input  D1;

    // Local signals
    wire or0_out   ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    or  or0  (or0_out   , A2, A1             );
    and and0 (and0_out_X, B1, C1, or0_out, D1);
    buf buf0 (X         , and0_out_X         );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__O2111A_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__o2111a_1

not to scale



.subckt sky130_fd_sc_ls__o2111a_1 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
X0 VPWR D1 a_82_48# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X1 a_82_48# A2 a_600_381# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 a_82_48# D1 a_321_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_82_48# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X4 VPWR B1 a_82_48# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X5 X a_82_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 X a_82_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_393_74# B1 a_471_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_471_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VGND A1 a_471_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 a_321_74# C1 a_393_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_600_381# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__o2111a_2

not to scale


.subckt sky130_fd_sc_ls__o2111a_2 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
X0 a_236_368# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 X a_236_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VPWR C1 a_236_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 a_236_368# D1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 VGND a_236_368# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 X a_236_368# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_152_368# A2 a_236_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_54_74# B1 a_369_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_54_74# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_369_74# C1 a_461_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 VPWR A1 a_152_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 VPWR a_236_368# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 VGND A2 a_54_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 a_461_74# D1 a_236_368# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__o2111a_4

not to scale


.subckt sky130_fd_sc_ls__o2111a_4 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
X0 VPWR A1 a_747_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 a_477_198# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VGND a_27_392# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VPWR a_27_392# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_27_74# C1 a_287_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VPWR C1 a_27_392# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X6 a_287_74# C1 a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 X a_27_392# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 X a_27_392# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 VPWR B1 a_27_392# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X10 X a_27_392# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_747_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 VPWR D1 a_27_392# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X13 a_27_74# D1 a_27_392# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 VGND A2 a_477_198# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 a_27_392# D1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X16 a_287_74# B1 a_477_198# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 VGND a_27_392# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 a_27_392# A2 a_747_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 X a_27_392# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 VPWR a_27_392# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 a_27_392# D1 a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_477_198# B1 a_287_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 a_27_392# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X24 a_27_392# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X25 a_477_198# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X26 a_747_392# A2 a_27_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X27 VGND A1 a_477_198# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends