NAME

nor4bb from sky130_fd_sc_ls

DESCRIPTION

4-input NOR, first two inputs inverted.

FUNCTION

VERILOG

"sky130_fd_sc_ls__nor4bb"
/*
*/


`ifndef SKY130_FD_SC_LS__NOR4BB_FUNCTIONAL_V
`define SKY130_FD_SC_LS__NOR4BB_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__nor4bb (
    Y  ,
    A  ,
    B  ,
    C_N,
    D_N
);

    // Module ports
    output Y  ;
    input  A  ;
    input  B  ;
    input  C_N;
    input  D_N;

    // Local signals
    wire nor0_out  ;
    wire and0_out_Y;

    //  Name  Output      Other arguments
    nor nor0 (nor0_out  , A, B              );
    and and0 (and0_out_Y, nor0_out, C_N, D_N);
    buf buf0 (Y         , and0_out_Y        );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__NOR4BB_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__nor4bb_1

not to scale



.subckt sky130_fd_sc_ls__nor4bb_1 A B C_N D_N VGND VNB VPB VPWR Y
X0 a_397_368# a_27_112# a_530_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_530_368# a_611_244# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VPWR D_N a_611_244# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 a_27_112# C_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X4 Y a_611_244# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_27_112# C_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X6 a_313_368# B a_397_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 VGND D_N a_611_244# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X9 VPWR A a_313_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 VGND a_27_112# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__nor4bb_2

not to scale


.subckt sky130_fd_sc_ls__nor4bb_2 A B C_N D_N VGND VNB VPB VPWR Y
X0 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_985_368# B a_772_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_27_392# C_N VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 VPWR A a_985_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 VGND a_311_124# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 Y a_311_124# a_493_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 VPWR D_N a_311_124# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 a_772_368# B a_985_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_27_392# C_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 Y a_311_124# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 VGND a_27_392# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_493_368# a_27_392# a_772_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 VGND D_N a_311_124# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 Y a_27_392# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 a_985_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X18 a_772_368# a_27_392# a_493_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 a_493_368# a_311_124# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__nor4bb_4

not to scale


.subckt sky130_fd_sc_ls__nor4bb_4 A B C_N D_N VGND VNB VPB VPWR Y
X0 a_897_349# a_1162_48# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_897_349# a_1162_48# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_864_48# C_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X4 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 Y a_1162_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 Y a_864_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_27_368# a_864_48# a_897_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 VPWR A a_116_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 VGND a_1162_48# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 Y a_1162_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_116_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 a_1162_48# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X16 a_27_368# B a_116_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 VPWR D_N a_1162_48# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X18 VGND C_N a_864_48# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 a_27_368# B a_116_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 Y a_1162_48# a_897_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 Y a_1162_48# a_897_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X22 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 VGND D_N a_1162_48# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X24 VGND a_1162_48# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X25 a_116_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X26 a_27_368# a_864_48# a_897_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X27 Y a_864_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X28 a_116_368# B a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X29 a_897_349# a_864_48# a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X30 VPWR C_N a_864_48# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X31 VPWR A a_116_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X32 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X33 VGND a_864_48# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X34 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X35 a_897_349# a_864_48# a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X36 a_116_368# B a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X37 VGND a_864_48# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends