NAME

nor4b from sky130_fd_sc_ls

DESCRIPTION

4-input NOR, first input inverted.

FUNCTION

VERILOG

"sky130_fd_sc_ls__nor4b"
/*
*/


`ifndef SKY130_FD_SC_LS__NOR4B_FUNCTIONAL_V
`define SKY130_FD_SC_LS__NOR4B_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__nor4b (
    Y  ,
    A  ,
    B  ,
    C  ,
    D_N
);

    // Module ports
    output Y  ;
    input  A  ;
    input  B  ;
    input  C  ;
    input  D_N;

    // Local signals
    wire not0_out  ;
    wire nor0_out_Y;

    //  Name  Output      Other arguments
    not not0 (not0_out  , D_N              );
    nor nor0 (nor0_out_Y, A, B, C, not0_out);
    buf buf0 (Y         , nor0_out_Y       );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__NOR4B_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__nor4b_1

not to scale



.subckt sky130_fd_sc_ls__nor4b_1 A B C D_N VGND VNB VPB VPWR Y
X0 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_57_368# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X2 a_446_368# a_57_368# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 Y a_57_368# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_260_368# B a_344_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 VPWR A a_260_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_57_368# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X8 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_344_368# C a_446_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__nor4b_2

not to scale


.subckt sky130_fd_sc_ls__nor4b_2 A B C D_N VGND VNB VPB VPWR Y
X0 a_701_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_27_392# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 Y a_27_392# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_701_368# B a_498_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_498_368# C a_229_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 VPWR A a_701_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 VGND a_27_392# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 Y a_27_392# a_229_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_498_368# B a_701_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 a_27_392# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X14 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 a_229_368# C a_498_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 a_229_368# a_27_392# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__nor4b_4

not to scale


.subckt sky130_fd_sc_ls__nor4b_4 A B C D_N VGND VNB VPB VPWR Y
X0 VPWR A a_1191_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_319_368# a_47_88# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_47_88# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X4 Y a_47_88# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_778_368# B a_1191_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_778_368# C a_319_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_1191_368# B a_778_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_319_368# a_47_88# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 a_778_368# C a_319_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 a_778_368# B a_1191_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 a_1191_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 Y a_47_88# a_319_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 a_1191_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X18 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 a_1191_368# B a_778_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 VGND a_47_88# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 VPWR D_N a_47_88# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X24 Y a_47_88# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X25 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X26 Y a_47_88# a_319_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X27 a_47_88# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X28 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X29 VPWR A a_1191_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X30 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X31 a_319_368# C a_778_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X32 a_319_368# C a_778_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X33 VGND a_47_88# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X34 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends