NAME

nor3b from sky130_fd_sc_ls

DESCRIPTION

3-input NOR, first input inverted.

FUNCTION

Y = (!(A | B)) & !C)

VERILOG

"sky130_fd_sc_ls__nor3b"
/*
*/


`ifndef SKY130_FD_SC_LS__NOR3B_FUNCTIONAL_V
`define SKY130_FD_SC_LS__NOR3B_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__nor3b (
    Y  ,
    A  ,
    B  ,
    C_N
);

    // Module ports
    output Y  ;
    input  A  ;
    input  B  ;
    input  C_N;

    // Local signals
    wire nor0_out  ;
    wire and0_out_Y;

    //  Name  Output      Other arguments
    nor nor0 (nor0_out  , A, B           );
    and and0 (and0_out_Y, C_N, nor0_out  );
    buf buf0 (Y         , and0_out_Y     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__NOR3B_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__nor3b_1

not to scale



.subckt sky130_fd_sc_ls__nor3b_1 A B C_N VGND VNB VPB VPWR Y
X0 a_27_112# C_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X1 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VGND a_27_112# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_260_368# B a_344_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VPWR A a_260_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 a_344_368# a_27_112# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_27_112# C_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
.ends

sky130_fd_sc_ls__nor3b_2

not to scale


.subckt sky130_fd_sc_ls__nor3b_2 A B C_N VGND VNB VPB VPWR Y
X0 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_495_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_495_368# B a_227_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VGND a_27_392# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 Y a_27_392# a_227_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 Y a_27_392# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_27_392# C_N VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 a_27_392# C_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 VPWR A a_495_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_227_368# B a_495_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 a_227_368# a_27_392# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__nor3b_4

not to scale


.subckt sky130_fd_sc_ls__nor3b_4 A B C_N VGND VNB VPB VPWR Y
X0 VGND C_N a_468_264# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_27_368# B a_126_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_27_368# a_468_264# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VPWR A a_126_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_126_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 a_27_368# B a_126_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_27_368# a_468_264# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_126_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 a_468_264# C_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X12 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 VGND a_468_264# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_126_368# B a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 Y a_468_264# a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 Y a_468_264# a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X18 a_126_368# B a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 VPWR A a_126_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 Y a_468_264# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 VPWR C_N a_468_264# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X23 VGND a_468_264# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X24 Y a_468_264# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X25 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X26 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends