NAME

nor2b from sky130_fd_sc_ls

DESCRIPTION

2-input NOR, first input inverted.

FUNCTION

Y = !(A | B | C | !D)

VERILOG

"sky130_fd_sc_ls__nor2b"
/*
*/


`ifndef SKY130_FD_SC_LS__NOR2B_FUNCTIONAL_V
`define SKY130_FD_SC_LS__NOR2B_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__nor2b (
    Y  ,
    A  ,
    B_N
);

    // Module ports
    output Y  ;
    input  A  ;
    input  B_N;

    // Local signals
    wire not0_out  ;
    wire and0_out_Y;

    //  Name  Output      Other arguments
    not not0 (not0_out  , A              );
    and and0 (and0_out_Y, not0_out, B_N  );
    buf buf0 (Y         , and0_out_Y     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__NOR2B_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__nor2b_1

not to scale



.subckt sky130_fd_sc_ls__nor2b_1 A B_N VGND VNB VPB VPWR Y
X0 a_27_112# B_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X1 Y a_27_112# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VPWR A a_278_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_27_112# B_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X4 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_278_368# a_27_112# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__nor2b_2

not to scale


.subckt sky130_fd_sc_ls__nor2b_2 A B_N VGND VNB VPB VPWR Y
X0 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 VPWR A a_228_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VGND a_27_392# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 Y a_27_392# a_228_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 Y a_27_392# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_27_392# B_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_228_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 a_27_392# B_N VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 a_228_368# a_27_392# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__nor2b_4

not to scale


.subckt sky130_fd_sc_ls__nor2b_4 A B_N VGND VNB VPB VPWR Y
X0 VPWR B_N a_353_323# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X1 Y a_353_323# a_116_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 Y a_353_323# a_116_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 VPWR A a_116_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VGND a_353_323# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_116_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 Y a_353_323# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VPWR A a_116_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_353_323# B_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X11 a_116_368# a_353_323# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 VGND B_N a_353_323# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 a_116_368# a_353_323# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 a_116_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends