NAME

nand4bb from sky130_fd_sc_ls

DESCRIPTION

4-input NAND, first two inputs inverted.

FUNCTION

VERILOG

"sky130_fd_sc_ls__nand4bb"
/*
*/


`ifndef SKY130_FD_SC_LS__NAND4BB_FUNCTIONAL_V
`define SKY130_FD_SC_LS__NAND4BB_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__nand4bb (
    Y  ,
    A_N,
    B_N,
    C  ,
    D
);

    // Module ports
    output Y  ;
    input  A_N;
    input  B_N;
    input  C  ;
    input  D  ;

    // Local signals
    wire nand0_out;
    wire or0_out_Y;

    //   Name   Output     Other arguments
    nand nand0 (nand0_out, D, C               );
    or   or0   (or0_out_Y, B_N, A_N, nand0_out);
    buf  buf0  (Y        , or0_out_Y          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__NAND4BB_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__nand4bb_1

not to scale



.subckt sky130_fd_sc_ls__nand4bb_1 A_N B_N C D VGND VNB VPB VPWR Y
X0 Y a_27_398# a_435_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_627_74# D VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VPWR a_226_398# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_435_74# a_226_398# a_513_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 VGND B_N a_226_398# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X7 VPWR B_N a_226_398# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X8 a_513_74# C a_627_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_27_398# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X10 a_27_398# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X11 Y a_27_398# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__nand4bb_2

not to scale


.subckt sky130_fd_sc_ls__nand4bb_2 A_N B_N C D VGND VNB VPB VPWR Y
X0 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VPWR B_N a_231_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_886_74# C a_678_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_373_74# a_27_368# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 Y a_27_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 VPWR a_231_74# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 VGND D a_886_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_27_368# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 a_678_74# C a_886_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 Y a_27_368# a_373_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_373_74# a_231_74# a_678_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 a_678_74# a_231_74# a_373_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_886_74# D VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 Y a_231_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 VGND B_N a_231_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X18 a_27_368# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 VPWR a_27_368# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__nand4bb_4

not to scale


.subckt sky130_fd_sc_ls__nand4bb_4 A_N B_N C D VGND VNB VPB VPWR Y
X0 a_232_114# B_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X1 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VPWR a_27_114# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_27_114# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X4 VPWR a_232_114# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 VPWR a_27_114# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_1229_74# C a_828_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 Y a_27_114# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 Y a_27_114# a_374_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_828_74# a_232_114# a_374_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 a_828_74# C a_1229_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 VGND B_N a_232_114# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 a_374_74# a_232_114# a_828_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 VPWR a_232_114# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 VPWR B_N a_232_114# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X18 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 a_828_74# a_232_114# a_374_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 a_1229_74# C a_828_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 Y a_232_114# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X22 a_374_74# a_232_114# a_828_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 a_828_74# C a_1229_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X24 a_1229_74# D VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X25 VGND D a_1229_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X26 Y a_27_114# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X27 a_374_74# a_27_114# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X28 a_374_74# a_27_114# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X29 VPWR A_N a_27_114# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X30 Y a_27_114# a_374_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X31 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X32 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X33 a_27_114# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X34 VGND D a_1229_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X35 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X36 a_1229_74# D VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X37 Y a_232_114# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends