NAME

nand4b from sky130_fd_sc_ls

DESCRIPTION

4-input NAND, first input inverted.

FUNCTION

VERILOG

"sky130_fd_sc_ls__nand4b"
/*
*/


`ifndef SKY130_FD_SC_LS__NAND4B_FUNCTIONAL_V
`define SKY130_FD_SC_LS__NAND4B_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__nand4b (
    Y  ,
    A_N,
    B  ,
    C  ,
    D
);

    // Module ports
    output Y  ;
    input  A_N;
    input  B  ;
    input  C  ;
    input  D  ;

    // Local signals
    wire not0_out   ;
    wire nand0_out_Y;

    //   Name   Output       Other arguments
    not  not0  (not0_out   , A_N              );
    nand nand0 (nand0_out_Y, D, C, B, not0_out);
    buf  buf0  (Y          , nand0_out_Y      );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__NAND4B_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__nand4b_1

not to scale



.subckt sky130_fd_sc_ls__nand4b_1 A_N B C D VGND VNB VPB VPWR Y
X0 a_27_112# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X1 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_263_74# C a_341_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_341_74# B a_443_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_443_74# a_27_112# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 VGND D a_263_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 Y a_27_112# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_27_112# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
.ends

sky130_fd_sc_ls__nand4b_2

not to scale


.subckt sky130_fd_sc_ls__nand4b_2 A_N B C D VGND VNB VPB VPWR Y
X0 a_225_74# a_27_74# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_719_123# C a_490_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_490_74# C a_719_123# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 Y a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 Y a_27_74# a_225_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_225_74# B a_490_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_490_74# B a_225_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_719_123# D VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 a_27_74# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X15 VPWR a_27_74# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 a_27_74# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X17 VGND D a_719_123# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__nand4b_4

not to scale


.subckt sky130_fd_sc_ls__nand4b_4 A_N B C D VGND VNB VPB VPWR Y
X0 VPWR a_27_158# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_1025_158# C a_656_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_225_74# a_27_158# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_27_158# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X4 Y a_27_158# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_656_74# C a_1025_158# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 Y a_27_158# a_225_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_1025_158# C a_656_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_225_74# a_27_158# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 Y a_27_158# a_225_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_225_74# B a_656_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 a_656_74# C a_1025_158# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_656_74# B a_225_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 VGND D a_1025_158# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 VPWR A_N a_27_158# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X20 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 a_225_74# B a_656_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_656_74# B a_225_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 a_1025_158# D VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X24 a_27_158# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X25 VGND D a_1025_158# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X26 a_1025_158# D VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends