NAME

nand4 from sky130_fd_sc_ls

DESCRIPTION

4-input NAND.

FUNCTION

VERILOG

"sky130_fd_sc_ls__nand4"
/*
*/


`ifndef SKY130_FD_SC_LS__NAND4_FUNCTIONAL_V
`define SKY130_FD_SC_LS__NAND4_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__nand4 (
    Y,
    A,
    B,
    C,
    D
);

    // Module ports
    output Y;
    input  A;
    input  B;
    input  C;
    input  D;

    // Local signals
    wire nand0_out_Y;

    //   Name   Output       Other arguments
    nand nand0 (nand0_out_Y, D, C, B, A     );
    buf  buf0  (Y          , nand0_out_Y    );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__NAND4_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__nand4_1

not to scale



.subckt sky130_fd_sc_ls__nand4_1 A B C D VGND VNB VPB VPWR Y
X0 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_181_74# C a_259_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_259_74# B a_373_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 VGND D a_181_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_373_74# A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__nand4_2

not to scale


.subckt sky130_fd_sc_ls__nand4_2 A B C D VGND VNB VPB VPWR Y
X0 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_515_74# B a_304_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 Y A a_515_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_304_74# B a_515_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_515_74# A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 VGND D a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 a_27_74# C a_304_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 a_304_74# C a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 a_27_74# D VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__nand4_4

not to scale


.subckt sky130_fd_sc_ls__nand4_4 A B C D VGND VNB VPB VPWR Y
X0 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_554_74# B a_923_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_923_74# B a_554_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 a_923_74# A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_923_74# B a_554_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_27_74# C a_554_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_554_74# C a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 a_27_74# D VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_554_74# B a_923_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 a_923_74# A Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 a_27_74# C a_554_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 Y A a_923_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 a_554_74# C a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 Y A a_923_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 a_27_74# D VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 VGND D a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X22 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X23 VGND D a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends