NAME

mux4 from sky130_fd_sc_ls

DESCRIPTION

4-input multiplexer.

FUNCTION

VERILOG

"sky130_fd_sc_ls__mux4"
/*
*/


`ifndef SKY130_FD_SC_LS__MUX4_FUNCTIONAL_V
`define SKY130_FD_SC_LS__MUX4_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_mux_4to2/sky130_fd_sc_ls__udp_mux_4to2.v"

`celldefine
module sky130_fd_sc_ls__mux4 (
    X ,
    A0,
    A1,
    A2,
    A3,
    S0,
    S1
);

    // Module ports
    output X ;
    input  A0;
    input  A1;
    input  A2;
    input  A3;
    input  S0;
    input  S1;

    // Local signals
    wire mux_4to20_out_X;

    //                            Name       Output           Other arguments
    sky130_fd_sc_ls__udp_mux_4to2 mux_4to20 (mux_4to20_out_X, A0, A1, A2, A3, S0, S1);
    buf                           buf0      (X              , mux_4to20_out_X       );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__MUX4_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__mux4_1

not to scale



.subckt sky130_fd_sc_ls__mux4_1 A0 A1 A2 A3 S0 S1 VGND VNB VPB VPWR X
X0 a_1396_99# S1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 a_264_74# a_27_74# a_342_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 VPWR A0 a_255_341# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 a_342_74# S0 a_450_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 a_768_74# a_27_74# a_846_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 a_342_74# S1 a_1338_125# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 a_342_74# a_27_74# a_537_341# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_846_74# S1 a_1338_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 VGND A0 a_264_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 a_846_74# S0 a_979_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 a_27_74# S0 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 a_1338_125# a_1396_99# a_846_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 VGND A2 a_768_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 VGND a_1338_125# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_537_341# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 a_979_74# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 VPWR a_1338_125# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 a_763_341# S0 a_846_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X18 a_1338_125# a_1396_99# a_342_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 a_27_74# S0 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X20 a_1065_387# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X21 a_255_341# S0 a_342_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X22 a_450_74# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X23 a_1396_99# S1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X24 VPWR A2 a_763_341# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X25 a_846_74# a_27_74# a_1065_387# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__mux4_2

not to scale


.subckt sky130_fd_sc_ls__mux4_2 A0 A1 A2 A3 S0 S1 VGND VNB VPB VPWR X
X0 VPWR A1 a_264_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 X a_1429_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_1500_94# S1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 a_31_94# S0 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 a_333_74# a_31_94# a_507_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 X a_1429_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_31_94# S0 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_264_392# a_31_94# a_333_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 a_831_74# S0 a_909_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VPWR a_1429_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 VGND a_1429_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_1429_74# a_1500_94# a_909_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 a_1500_94# S1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 VGND A1 a_255_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_840_392# a_31_94# a_909_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 a_1047_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 VPWR A3 a_840_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X17 a_333_74# S0 a_618_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X18 a_1152_392# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 a_909_74# S1 a_1429_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 a_333_74# S1 a_1429_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X21 a_507_74# A0 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_1429_74# a_1500_94# a_333_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 a_909_74# S0 a_1152_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X24 a_909_74# a_31_94# a_1047_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X25 a_618_392# A0 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X26 VGND A3 a_831_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X27 a_255_74# S0 a_333_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__mux4_4

not to scale


.subckt sky130_fd_sc_ls__mux4_4 A0 A1 A2 A3 S0 S1 VGND VNB VPB VPWR X
X0 VPWR a_2199_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_2199_74# a_2489_347# a_1191_121# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 a_299_126# A0 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 VGND A3 a_1450_121# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 X a_2199_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_509_392# a_758_306# a_299_126# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 a_2489_347# S1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 VGND a_2199_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_1278_121# a_758_306# a_1191_121# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 a_1191_121# S0 a_1465_377# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 a_758_306# S0 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_1450_121# S0 a_1191_121# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 a_509_392# S0 a_114_126# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 a_1191_121# a_758_306# a_1285_377# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X14 a_1191_121# a_2489_347# a_2199_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 a_296_392# S0 a_509_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X16 a_509_392# a_758_306# a_116_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X17 a_1465_377# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X18 a_114_126# S0 a_509_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 a_2489_347# S1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 X a_2199_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 VPWR A0 a_296_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X22 a_299_126# a_758_306# a_509_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X23 a_1191_121# S0 a_1450_121# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X24 X a_2199_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X25 VPWR a_2199_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X26 VGND a_2199_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X27 VGND A1 a_114_126# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X28 a_2199_74# S1 a_509_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X29 a_1450_121# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X30 VPWR A1 a_116_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X31 a_296_392# A0 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X32 a_509_392# S0 a_296_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X33 a_1465_377# S0 a_1191_121# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X34 VPWR A3 a_1285_377# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X35 a_1285_377# a_758_306# a_1191_121# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X36 a_116_392# a_758_306# a_509_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X37 VGND A2 a_1278_121# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X38 VGND A0 a_299_126# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X39 X a_2199_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X40 a_1278_121# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X41 a_2199_74# a_2489_347# a_509_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X42 a_509_392# a_2489_347# a_2199_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X43 a_509_392# S1 a_2199_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X44 a_1191_121# S1 a_2199_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X45 a_758_306# S0 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X46 VPWR A2 a_1465_377# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X47 a_1191_121# a_758_306# a_1278_121# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X48 a_116_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X49 a_114_126# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X50 a_1285_377# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X51 a_2199_74# S1 a_1191_121# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends