NAME

mux2 from sky130_fd_sc_ls

DESCRIPTION

2-input multiplexer.

FUNCTION

VERILOG

"sky130_fd_sc_ls__mux2"
/*
*/


`ifndef SKY130_FD_SC_LS__MUX2_FUNCTIONAL_V
`define SKY130_FD_SC_LS__MUX2_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_mux_2to1/sky130_fd_sc_ls__udp_mux_2to1.v"

`celldefine
module sky130_fd_sc_ls__mux2 (
    X ,
    A0,
    A1,
    S
);

    // Module ports
    output X ;
    input  A0;
    input  A1;
    input  S ;

    // Local signals
    wire mux_2to10_out_X;

    //                            Name       Output           Other arguments
    sky130_fd_sc_ls__udp_mux_2to1 mux_2to10 (mux_2to10_out_X, A0, A1, S      );
    buf                           buf0      (X              , mux_2to10_out_X);

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__MUX2_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__mux2_1

not to scale



.subckt sky130_fd_sc_ls__mux2_1 A0 A1 S VGND VNB VPB VPWR X
X0 a_223_368# A0 a_304_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 VGND S a_226_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VPWR S a_223_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 a_443_74# a_27_112# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 VGND a_304_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_304_74# A0 a_443_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_27_112# S VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X7 a_524_368# a_27_112# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 a_226_74# A1 a_304_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VPWR a_304_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_27_112# S VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X11 a_304_74# A1 a_524_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__mux2_2

not to scale


.subckt sky130_fd_sc_ls__mux2_2 A0 A1 S VGND VNB VPB VPWR X
X0 X a_116_368# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_270_74# S VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_38_74# A0 a_116_368# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_116_368# A1 a_270_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 VPWR a_116_368# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 a_27_368# A0 a_116_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 a_27_368# S VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 VPWR a_459_48# a_206_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 a_459_48# S VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X9 a_459_48# S VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X10 a_116_368# A1 a_206_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 VGND a_116_368# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 X a_116_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 VGND a_459_48# a_38_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__mux2_4

not to scale


.subckt sky130_fd_sc_ls__mux2_4 A0 A1 S VGND VNB VPB VPWR X
X0 a_193_241# A0 a_722_391# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 a_193_241# A1 a_936_391# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 VGND S a_709_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 X a_193_241# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VPWR a_193_241# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 VGND a_193_241# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 X a_193_241# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_722_391# S VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 a_937_119# a_27_368# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 a_709_119# S VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 a_27_368# S VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 VGND a_27_368# a_937_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 VPWR a_193_241# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 VGND a_193_241# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_193_241# A1 a_709_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X15 a_27_368# S VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X16 a_722_391# A0 a_193_241# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X17 a_936_391# A1 a_193_241# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X18 a_936_391# a_27_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 X a_193_241# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 VPWR a_27_368# a_936_391# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X21 a_937_119# A0 a_193_241# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X22 VPWR S a_722_391# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X23 X a_193_241# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X24 a_193_241# A0 a_937_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X25 a_709_119# A1 a_193_241# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends