NAME

ha from sky130_fd_sc_ls

DESCRIPTION

Half adder.

FUNCTION

VERILOG

"sky130_fd_sc_ls__ha"
/*
*/


`ifndef SKY130_FD_SC_LS__HA_FUNCTIONAL_V
`define SKY130_FD_SC_LS__HA_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__ha (
    COUT,
    SUM ,
    A   ,
    B
);

    // Module ports
    output COUT;
    output SUM ;
    input  A   ;
    input  B   ;

    // Local signals
    wire and0_out_COUT;
    wire xor0_out_SUM ;

    //  Name  Output         Other arguments
    and and0 (and0_out_COUT, A, B           );
    buf buf0 (COUT         , and0_out_COUT  );
    xor xor0 (xor0_out_SUM , B, A           );
    buf buf1 (SUM          , xor0_out_SUM   );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__HA_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__ha_1

not to scale



.subckt sky130_fd_sc_ls__ha_1 A B VGND VNB VPB VPWR COUT SUM
X0 VPWR B a_239_294# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X1 a_83_260# a_239_294# a_305_130# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 a_239_294# B a_695_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 VPWR a_239_294# COUT VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_386_392# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 a_305_130# B VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 SUM a_83_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 VGND a_239_294# COUT VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_239_294# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X9 VPWR a_239_294# a_83_260# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X10 VGND A a_305_130# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 SUM a_83_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_83_260# B a_386_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X13 a_695_119# A VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends

sky130_fd_sc_ls__ha_2

not to scale


.subckt sky130_fd_sc_ls__ha_2 A B VGND VNB VPB VPWR COUT SUM
X0 VGND A a_278_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_278_74# B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 COUT a_27_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VGND a_391_388# SUM VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_391_388# a_27_74# a_278_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VPWR a_27_74# COUT VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 SUM a_391_388# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 VGND a_27_74# COUT VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 VPWR a_391_388# SUM VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 VPWR A a_307_388# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 COUT a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 VPWR B a_27_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 a_307_388# B a_391_388# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X13 a_114_74# A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_27_74# B a_114_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 SUM a_391_388# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 a_27_74# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X17 a_391_388# a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__ha_4

not to scale


.subckt sky130_fd_sc_ls__ha_4 A B VGND VNB VPB VPWR COUT SUM
X0 a_27_125# B VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 VGND a_294_392# SUM VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_27_125# A VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 a_294_392# a_435_99# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X4 a_294_392# a_435_99# a_27_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 SUM a_294_392# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 VGND a_435_99# COUT VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 SUM a_294_392# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 VGND A a_27_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 VGND B a_27_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 a_707_119# B a_435_99# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 COUT a_435_99# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_27_392# B a_294_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X13 a_435_99# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X14 COUT a_435_99# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 VGND a_294_392# SUM VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 SUM a_294_392# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 a_27_125# a_435_99# a_294_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X18 a_27_392# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 VPWR a_435_99# COUT VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 VGND A a_707_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X21 VGND a_435_99# COUT VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_435_99# B a_707_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X23 COUT a_435_99# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X24 VPWR B a_435_99# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X25 VPWR a_294_392# SUM VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X26 VPWR a_435_99# a_294_392# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X27 a_294_392# B a_27_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X28 VPWR A a_435_99# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X29 VPWR a_294_392# SUM VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X30 a_707_119# A VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X31 VPWR A a_27_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X32 VPWR a_435_99# COUT VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X33 a_435_99# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X34 SUM a_294_392# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X35 COUT a_435_99# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends