NAME

fahcin from sky130_fd_sc_ls

DESCRIPTION

Full adder, inverted carry in.

FUNCTION

VERILOG

"sky130_fd_sc_ls__fahcin"
/*
*/


`ifndef SKY130_FD_SC_LS__FAHCIN_FUNCTIONAL_V
`define SKY130_FD_SC_LS__FAHCIN_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__fahcin (
    COUT,
    SUM ,
    A   ,
    B   ,
    CIN
);

    // Module ports
    output COUT;
    output SUM ;
    input  A   ;
    input  B   ;
    input  CIN ;

    // Local signals
    wire ci          ;
    wire xor0_out_SUM;
    wire a_b         ;
    wire a_ci        ;
    wire b_ci        ;
    wire or0_out_COUT;

    //  Name  Output        Other arguments
    not not0 (ci          , CIN            );
    xor xor0 (xor0_out_SUM, A, B, ci       );
    buf buf0 (SUM         , xor0_out_SUM   );
    and and0 (a_b         , A, B           );
    and and1 (a_ci        , A, ci          );
    and and2 (b_ci        , B, ci          );
    or  or0  (or0_out_COUT, a_b, a_ci, b_ci);
    buf buf1 (COUT        , or0_out_COUT   );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__FAHCIN_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__fahcin_1

not to scale



.subckt sky130_fd_sc_ls__fahcin_1 A B CIN VGND VNB VPB VPWR COUT SUM
X0 VPWR a_492_48# a_1197_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 a_608_74# a_492_48# a_256_368# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 VPWR a_28_74# a_256_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 a_430_418# a_492_48# a_28_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 VGND a_2004_136# SUM VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_1854_368# a_608_74# a_2004_136# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 a_1967_384# a_1854_368# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X7 a_1197_368# a_608_74# COUT VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X8 a_2004_136# a_430_418# a_1854_368# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X9 a_28_74# B a_430_418# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X10 VPWR CIN a_1854_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 a_492_48# B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 VGND a_28_74# a_256_368# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 a_28_74# B a_608_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X14 COUT a_430_418# a_1595_400# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X15 a_28_74# A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 a_1595_400# CIN VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X17 a_1595_400# CIN VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X18 a_28_74# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 VPWR a_2004_136# SUM VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 a_1197_368# a_430_418# COUT VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X21 VGND CIN a_1854_368# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_1967_384# a_1854_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X23 a_430_418# a_492_48# a_256_368# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X24 VGND a_492_48# a_1197_368# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X25 COUT a_608_74# a_1595_400# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X26 a_492_48# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X27 a_1967_384# a_608_74# a_2004_136# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X28 a_256_368# B a_608_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X29 a_608_74# a_492_48# a_28_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X30 a_256_368# B a_430_418# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X31 a_2004_136# a_430_418# a_1967_384# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends