NAME

fah from sky130_fd_sc_ls

DESCRIPTION

Full adder.

FUNCTION

VERILOG

"sky130_fd_sc_ls__fah"
/*
*/


`ifndef SKY130_FD_SC_LS__FAH_FUNCTIONAL_V
`define SKY130_FD_SC_LS__FAH_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__fah (
    COUT,
    SUM ,
    A   ,
    B   ,
    CI
);

    // Module ports
    output COUT;
    output SUM ;
    input  A   ;
    input  B   ;
    input  CI  ;

    // Local signals
    wire xor0_out_SUM;
    wire a_b         ;
    wire a_ci        ;
    wire b_ci        ;
    wire or0_out_COUT;

    //  Name  Output        Other arguments
    xor xor0 (xor0_out_SUM, A, B, CI       );
    buf buf0 (SUM         , xor0_out_SUM   );
    and and0 (a_b         , A, B           );
    and and1 (a_ci        , A, CI          );
    and and2 (b_ci        , B, CI          );
    or  or0  (or0_out_COUT, a_b, a_ci, b_ci);
    buf buf1 (COUT        , or0_out_COUT   );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__FAH_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__fah_1

not to scale



.subckt sky130_fd_sc_ls__fah_1 A B CI VGND VNB VPB VPWR COUT SUM
X0 a_1660_374# a_2342_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 SUM a_83_21# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VGND CI a_231_132# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 COUT a_410_58# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_1849_374# a_879_55# a_811_379# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 VGND A a_2342_48# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 a_410_58# a_1023_379# a_879_55# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X7 a_1660_374# a_879_55# a_811_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X8 a_879_55# B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_1023_379# B a_1849_374# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 a_410_58# a_1023_379# a_231_132# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 a_1849_374# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 COUT a_410_58# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 a_1849_374# a_879_55# a_1023_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X14 a_811_379# B a_1849_374# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X15 a_1660_374# a_879_55# a_1023_379# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 a_811_379# B a_1660_374# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X17 a_1849_374# A VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X18 VPWR A a_2342_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 SUM a_83_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 a_879_55# a_811_379# a_410_58# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X21 a_879_55# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X22 VPWR a_231_132# a_644_104# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X23 a_83_21# a_1023_379# a_644_104# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X24 a_231_132# a_811_379# a_410_58# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X25 a_1023_379# B a_1660_374# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X26 VGND a_231_132# a_644_104# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X27 VPWR CI a_231_132# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X28 a_231_132# a_811_379# a_83_21# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X29 a_644_104# a_811_379# a_83_21# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X30 a_83_21# a_1023_379# a_231_132# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X31 a_1660_374# a_2342_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__fah_2

not to scale


.subckt sky130_fd_sc_ls__fah_2 A B CI VGND VNB VPB VPWR COUT SUM
X0 VGND CI a_1689_424# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 VPWR A a_413_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 COUT a_1451_424# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_81_260# A VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 a_413_392# B a_514_424# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 a_1451_424# a_514_424# a_1689_424# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 SUM a_1895_424# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_114_368# a_481_379# a_849_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X8 a_514_424# a_481_379# a_114_368# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 COUT a_1451_424# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 SUM a_1895_424# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 VPWR a_81_260# a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 a_1895_424# a_514_424# a_2052_424# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 a_413_392# a_481_379# a_514_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X14 a_481_379# a_849_424# a_1451_424# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X15 a_849_424# B a_413_392# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X16 VPWR B a_481_379# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 a_481_379# a_514_424# a_1451_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X18 a_2052_424# a_1689_424# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 VGND B a_481_379# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 VPWR CI a_1689_424# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X21 a_114_368# B a_849_424# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X22 VGND a_1895_424# SUM VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 a_81_260# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X24 a_2052_424# a_1689_424# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X25 VGND a_1451_424# COUT VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X26 a_1451_424# a_849_424# a_1689_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X27 VGND A a_413_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X28 VPWR a_1895_424# SUM VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X29 a_514_424# B a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X30 a_1689_424# a_514_424# a_1895_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X31 a_849_424# a_481_379# a_413_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X32 a_1689_424# a_849_424# a_1895_424# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X33 a_1895_424# a_849_424# a_2052_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X34 VPWR a_1451_424# COUT VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X35 VGND a_81_260# a_114_368# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__fah_4

not to scale


.subckt sky130_fd_sc_ls__fah_4 A B CI VGND VNB VPB VPWR COUT SUM
X0 a_1278_102# a_536_114# a_1378_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 SUM a_1278_102# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VPWR B a_586_257# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_536_114# a_586_257# a_200_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 a_1265_379# a_536_114# a_1378_125# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X5 VPWR a_1265_379# COUT VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 COUT a_1265_379# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_1183_102# a_1378_125# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 a_1278_102# a_536_114# a_1183_102# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X9 VGND A a_200_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 a_1378_125# CI VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 VGND B a_586_257# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_427_362# B a_536_114# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 a_528_362# a_586_257# a_427_362# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X14 a_427_362# B a_528_362# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X15 a_1183_102# a_1378_125# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X16 a_1378_125# a_528_362# a_1265_379# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X17 a_586_257# a_528_362# a_1265_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X18 a_1265_379# a_536_114# a_586_257# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 a_200_74# B a_528_362# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X20 SUM a_1278_102# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 a_1378_125# a_528_362# a_1278_102# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X22 VGND a_27_74# a_427_362# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 VGND a_1265_379# COUT VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X24 a_200_74# B a_536_114# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X25 COUT a_1265_379# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X26 VPWR a_1278_102# SUM VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X27 a_27_74# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X28 COUT a_1265_379# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X29 a_1378_125# CI VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X30 VGND a_1278_102# SUM VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X31 VGND a_1278_102# SUM VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X32 a_528_362# a_586_257# a_200_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X33 COUT a_1265_379# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X34 a_27_74# A VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X35 VPWR a_1265_379# COUT VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X36 VPWR a_1278_102# SUM VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X37 a_1183_102# a_528_362# a_1278_102# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X38 VGND a_1265_379# COUT VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X39 VPWR A a_200_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X40 VPWR a_27_74# a_427_362# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X41 a_536_114# a_586_257# a_427_362# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X42 SUM a_1278_102# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X43 SUM a_1278_102# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends