NAME

einvp from sky130_fd_sc_ls

DESCRIPTION

Tri-state inverter, positive enable.

FUNCTION

VERILOG

"sky130_fd_sc_ls__einvp"
/*
*/


`ifndef SKY130_FD_SC_LS__EINVP_FUNCTIONAL_V
`define SKY130_FD_SC_LS__EINVP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__einvp (
    Z ,
    A ,
    TE
);

    // Module ports
    output Z ;
    input  A ;
    input  TE;

    //     Name     Output  Other arguments
    notif1 notif10 (Z     , A, TE          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__EINVP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__einvp_1

not to scale



.subckt sky130_fd_sc_ls__einvp_1 A TE VGND VNB VPB VPWR Z
X0 VGND TE a_318_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_310_392# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 VPWR a_44_549# a_310_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 a_44_549# TE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_44_549# TE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_318_74# A Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__einvp_2

not to scale


.subckt sky130_fd_sc_ls__einvp_2 A TE VGND VNB VPB VPWR Z
X0 a_263_323# TE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_263_323# TE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_27_368# a_263_323# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_27_368# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 Z A a_36_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_36_74# TE VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 VGND TE a_36_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 VPWR a_263_323# a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 Z A a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_36_74# A Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__einvp_4

not to scale


.subckt sky130_fd_sc_ls__einvp_4 A TE VGND VNB VPB VPWR Z
X0 a_27_74# A Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 VGND TE a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_27_368# a_473_323# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 Z A a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_27_74# TE VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 Z A a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_473_323# TE VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_473_323# TE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 VGND TE a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_27_368# a_473_323# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_27_368# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 Z A a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 VPWR a_473_323# a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 VPWR a_473_323# a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 a_27_368# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 a_27_74# A Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 Z A a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 a_27_74# TE VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__einvp_8

not to scale


.subckt sky130_fd_sc_ls__einvp_8 A TE VGND VNB VPB VPWR Z
X0 a_27_368# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VGND TE a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_27_74# TE VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_27_368# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VGND TE a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VGND TE a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 Z A a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_27_74# A Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_27_368# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_802_323# TE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 Z A a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 VPWR a_802_323# a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 a_27_368# a_802_323# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 VPWR a_802_323# a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 a_27_368# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 Z A a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 VPWR a_802_323# a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 a_27_74# TE VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 Z A a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 a_27_74# A Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 VGND TE a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 Z A a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X22 Z A a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X23 a_802_323# TE VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X24 Z A a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X25 a_27_74# TE VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X26 a_27_74# A Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X27 a_27_74# A Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X28 VPWR a_802_323# a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X29 a_27_368# a_802_323# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X30 Z A a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X31 a_27_74# TE VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X32 a_27_368# a_802_323# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X33 a_27_368# a_802_323# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends