NAME

einvn from sky130_fd_sc_ls

DESCRIPTION

Tri-state inverter, negative enable.

FUNCTION

VERILOG

"sky130_fd_sc_ls__einvn"
/*
*/


`ifndef SKY130_FD_SC_LS__EINVN_FUNCTIONAL_V
`define SKY130_FD_SC_LS__EINVN_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__einvn (
    Z   ,
    A   ,
    TE_B
);

    // Module ports
    output Z   ;
    input  A   ;
    input  TE_B;

    //     Name     Output  Other arguments
    notif0 notif00 (Z     , A, TE_B        );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__EINVN_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__einvn_1

not to scale



.subckt sky130_fd_sc_ls__einvn_1 A TE_B VGND VNB VPB VPWR Z
X0 VGND a_22_46# a_281_100# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_22_46# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_22_46# TE_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR TE_B a_278_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_281_100# A Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_278_368# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__einvn_2

not to scale


.subckt sky130_fd_sc_ls__einvn_2 A TE_B VGND VNB VPB VPWR Z
X0 Z A a_227_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VPWR TE_B a_227_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_231_74# a_115_464# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VGND a_115_464# a_231_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 VPWR TE_B a_115_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 a_231_74# A Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 Z A a_231_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_227_368# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 a_227_368# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 VGND TE_B a_115_464# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_ls__einvn_4

not to scale


.subckt sky130_fd_sc_ls__einvn_4 A TE_B VGND VNB VPB VPWR Z
X0 Z A a_241_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_281_74# a_114_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 Z A a_281_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 Z A a_241_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VPWR TE_B a_241_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 VPWR TE_B a_114_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 VPWR TE_B a_241_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_281_74# A Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 Z A a_281_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_241_368# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_241_368# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 a_241_368# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 VGND a_114_74# a_281_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 a_281_74# A Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 VGND TE_B a_114_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 a_281_74# a_114_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 a_241_368# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 VGND a_114_74# a_281_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__einvn_8

not to scale


.subckt sky130_fd_sc_ls__einvn_8 A TE_B VGND VNB VPB VPWR Z
X0 Z A a_239_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_239_368# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_293_74# A Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VGND TE_B a_126_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 VGND a_126_74# a_293_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_293_74# a_126_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_293_74# a_126_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_293_74# A Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 VPWR TE_B a_126_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 VPWR TE_B a_239_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_293_74# A Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 Z A a_293_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 Z A a_293_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 a_239_368# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 Z A a_239_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 a_293_74# a_126_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 a_239_368# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 VGND a_126_74# a_293_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 a_239_368# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 a_293_74# A Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 VPWR TE_B a_239_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 Z A a_293_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 Z A a_293_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 a_239_368# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 a_239_368# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X25 VPWR TE_B a_239_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X26 a_239_368# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X27 Z A a_239_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X28 VGND a_126_74# a_293_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X29 a_239_368# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X30 VPWR TE_B a_239_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X31 a_293_74# a_126_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X32 VGND a_126_74# a_293_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X33 Z A a_239_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends