NAME

ebufn from sky130_fd_sc_ls

DESCRIPTION

Tri-state buffer, negative enable.

FUNCTION

VERILOG

"sky130_fd_sc_ls__ebufn"
/*
*/


`ifndef SKY130_FD_SC_LS__EBUFN_FUNCTIONAL_V
`define SKY130_FD_SC_LS__EBUFN_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__ebufn (
    Z   ,
    A   ,
    TE_B
);

    // Module ports
    output Z   ;
    input  A   ;
    input  TE_B;

    //     Name     Output  Other arguments
    bufif0 bufif00 (Z     , A, TE_B        );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__EBUFN_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__ebufn_1

not to scale



.subckt sky130_fd_sc_ls__ebufn_1 A TE_B VGND VNB VPB VPWR Z
X0 VPWR A a_229_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X1 VGND A a_229_74# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X2 a_27_404# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 a_569_74# a_229_74# Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 VPWR TE_B a_566_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 a_566_368# a_229_74# Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_27_404# TE_B VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X7 VGND a_27_404# a_569_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__ebufn_2

not to scale


.subckt sky130_fd_sc_ls__ebufn_2 A TE_B VGND VNB VPB VPWR Z
X0 a_33_368# a_84_48# Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 Z a_84_48# a_33_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 Z a_84_48# a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_33_368# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_283_48# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 VGND a_283_48# a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_27_74# a_283_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_27_74# a_84_48# Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_283_48# TE_B VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 VPWR TE_B a_33_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 VGND A a_84_48# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 VPWR A a_84_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__ebufn_4

not to scale


.subckt sky130_fd_sc_ls__ebufn_4 A TE_B VGND VNB VPB VPWR Z
X0 VPWR TE_B a_348_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VPWR TE_B a_348_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_378_74# a_208_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_348_368# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_27_368# A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VPWR TE_B a_208_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_348_368# a_27_368# Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 Z a_27_368# a_378_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_348_368# a_27_368# Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_27_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 Z a_27_368# a_348_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 a_348_368# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 VGND a_208_74# a_378_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 a_378_74# a_208_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 VGND TE_B a_208_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 VGND a_208_74# a_378_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 a_378_74# a_27_368# Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 Z a_27_368# a_348_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X18 Z a_27_368# a_378_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 a_378_74# a_27_368# Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__ebufn_8

not to scale


.subckt sky130_fd_sc_ls__ebufn_8 A TE_B VGND VNB VPB VPWR Z
X0 a_28_368# a_84_48# Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 Z a_84_48# a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VGND a_833_48# a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_84_48# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VGND a_833_48# a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VPWR A a_84_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 Z a_84_48# a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_28_368# a_84_48# Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 a_28_368# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 VPWR TE_B a_28_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 Z a_84_48# a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_28_368# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 a_27_74# a_833_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 Z a_84_48# a_28_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 a_84_48# A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 VGND a_833_48# a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 a_28_368# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 VPWR TE_B a_28_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X18 a_28_368# a_84_48# Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 Z a_84_48# a_28_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 a_28_368# a_84_48# Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 a_27_74# a_833_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_833_48# TE_B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 VPWR TE_B a_28_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 a_27_74# a_84_48# Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X25 VGND A a_84_48# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X26 Z a_84_48# a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X27 Z a_84_48# a_28_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X28 a_27_74# a_84_48# Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X29 a_27_74# a_833_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X30 a_27_74# a_833_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X31 VGND a_833_48# a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X32 a_27_74# a_84_48# Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X33 Z a_84_48# a_28_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X34 a_27_74# a_84_48# Z VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X35 a_28_368# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X36 VPWR TE_B a_28_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X37 a_833_48# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends