NAME

dlxtn from sky130_fd_sc_ls

DESCRIPTION

Delay latch, inverted enable, single output.

FUNCTION

VERILOG

"sky130_fd_sc_ls__dlxtn"
/*
*/


`ifndef SKY130_FD_SC_LS__DLXTN_FUNCTIONAL_V
`define SKY130_FD_SC_LS__DLXTN_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dlatch_p/sky130_fd_sc_ls__udp_dlatch_p.v"

`celldefine
module sky130_fd_sc_ls__dlxtn (
    Q     ,
    D     ,
    GATE_N
);

    // Module ports
    output Q     ;
    input  D     ;
    input  GATE_N;

    // Local signals
    wire GATE ;
    wire buf_Q;

    //                            Name     Output  Other arguments
    not                           not0    (GATE  , GATE_N         );
    sky130_fd_sc_ls__udp_dlatch$P dlatch0 (buf_Q , D, GATE        );
    buf                           buf0    (Q     , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__DLXTN_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__dlxtn_1

not to scale



.subckt sky130_fd_sc_ls__dlxtn_1 D GATE_N VGND VNB VPB VPWR Q
X0 a_812_508# a_863_441# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_655_79# a_217_419# a_669_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 a_871_139# a_863_441# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_669_392# a_217_419# a_812_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_585_392# a_369_392# a_669_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 a_27_115# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X6 VGND a_669_392# a_863_441# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X7 VPWR a_863_441# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 VPWR GATE_N a_217_419# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X9 VPWR a_27_115# a_585_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 a_27_115# D VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X11 VGND GATE_N a_217_419# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_669_392# a_369_392# a_871_139# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 VGND a_27_115# a_655_79# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X14 VGND a_863_441# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 a_369_392# a_217_419# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 VPWR a_669_392# a_863_441# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X17 a_369_392# a_217_419# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
.ends

sky130_fd_sc_ls__dlxtn_2

not to scale


.subckt sky130_fd_sc_ls__dlxtn_2 D GATE_N VGND VNB VPB VPWR Q
X0 VPWR a_842_405# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_585_392# a_369_392# a_669_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 a_658_79# a_232_82# a_669_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 VGND a_842_405# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 VPWR a_27_120# a_585_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 Q a_842_405# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_791_503# a_842_405# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VGND GATE_N a_232_82# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_27_120# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X9 VPWR GATE_N a_232_82# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X10 a_669_392# a_232_82# a_791_503# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 Q a_842_405# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 VPWR a_669_392# a_842_405# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 VGND a_27_120# a_658_79# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X14 a_27_120# D VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X15 a_669_392# a_369_392# a_875_139# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 VGND a_669_392# a_842_405# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 a_369_392# a_232_82# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 a_369_392# a_232_82# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X19 a_875_139# a_842_405# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_ls__dlxtn_4

not to scale


.subckt sky130_fd_sc_ls__dlxtn_4 D GATE_N VGND VNB VPB VPWR Q
X0 Q a_840_395# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 Q a_840_395# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VPWR a_675_392# a_840_395# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 a_675_392# a_230_424# a_789_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 Q a_840_395# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 a_895_123# a_840_395# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_658_79# a_230_424# a_675_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X7 a_27_115# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X8 VGND a_840_395# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_789_508# a_840_395# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 VGND a_675_392# a_840_395# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 a_27_115# D VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X12 VGND GATE_N a_230_424# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 a_675_392# a_369_392# a_895_123# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_840_395# a_675_392# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X15 Q a_840_395# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 VGND a_27_115# a_658_79# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X17 VPWR GATE_N a_230_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X18 VPWR a_840_395# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 a_840_395# a_675_392# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X20 VPWR a_840_395# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 a_591_392# a_369_392# a_675_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X22 VGND a_840_395# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 a_369_392# a_230_424# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X24 VPWR a_27_115# a_591_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X25 a_369_392# a_230_424# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
.ends