NAME

dlxbp from sky130_fd_sc_ls

DESCRIPTION

Delay latch, non-inverted enable, complementary outputs.

FUNCTION

VERILOG

"sky130_fd_sc_ls__dlxbp"
/*
*/


`ifndef SKY130_FD_SC_LS__DLXBP_FUNCTIONAL_V
`define SKY130_FD_SC_LS__DLXBP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dlatch_p/sky130_fd_sc_ls__udp_dlatch_p.v"

`celldefine
module sky130_fd_sc_ls__dlxbp (
    Q   ,
    Q_N ,
    D   ,
    GATE
);

    // Module ports
    output Q   ;
    output Q_N ;
    input  D   ;
    input  GATE;

    // Local signals
    wire buf_Q;

    //                            Delay       Name     Output  Other arguments
    sky130_fd_sc_ls__udp_dlatch$P `UNIT_DELAY dlatch0 (buf_Q , D, GATE        );
    buf                                       buf0    (Q     , buf_Q          );
    not                                       not0    (Q_N   , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__DLXBP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__dlxbp_1

not to scale



.subckt sky130_fd_sc_ls__dlxbp_1 D GATE VGND VNB VPB VPWR Q Q_N
X0 a_589_80# a_373_82# a_664_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 Q a_863_98# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VPWR a_1347_424# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_27_413# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X4 a_815_124# a_863_98# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR a_27_413# a_586_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 Q a_863_98# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_373_82# a_231_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X8 VGND a_27_413# a_589_80# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 VPWR GATE a_231_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X10 a_770_508# a_863_98# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 a_27_413# D VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X12 a_373_82# a_231_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 VPWR a_664_392# a_863_98# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VGND GATE a_231_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 a_586_392# a_231_74# a_664_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X16 VPWR a_863_98# a_1347_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X17 VGND a_664_392# a_863_98# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 VGND a_863_98# a_1347_424# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X19 a_664_392# a_373_82# a_770_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 VGND a_1347_424# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 a_664_392# a_231_74# a_815_124# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends