NAME

dlxbn from sky130_fd_sc_ls

DESCRIPTION

Delay latch, inverted enable, complementary outputs.

FUNCTION

VERILOG

"sky130_fd_sc_ls__dlxbn"
/*
*/


`ifndef SKY130_FD_SC_LS__DLXBN_FUNCTIONAL_V
`define SKY130_FD_SC_LS__DLXBN_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dlatch_p/sky130_fd_sc_ls__udp_dlatch_p.v"

`celldefine
module sky130_fd_sc_ls__dlxbn (
    Q     ,
    Q_N   ,
    D     ,
    GATE_N
);

    // Module ports
    output Q     ;
    output Q_N   ;
    input  D     ;
    input  GATE_N;

    // Local signals
    wire GATE ;
    wire buf_Q;

    //                            Delay       Name     Output  Other arguments
    not                                       not0    (GATE  , GATE_N         );
    sky130_fd_sc_ls__udp_dlatch$P `UNIT_DELAY dlatch0 (buf_Q , D, GATE        );
    buf                                       buf0    (Q     , buf_Q          );
    not                                       not1    (Q_N   , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__DLXBN_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__dlxbn_1

not to scale



.subckt sky130_fd_sc_ls__dlxbn_1 D GATE_N VGND VNB VPB VPWR Q Q_N
X0 a_575_79# a_232_82# a_653_79# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 VPWR a_1347_424# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VPWR GATE_N a_232_82# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 a_571_392# a_343_80# a_653_79# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 a_653_79# a_343_80# a_852_123# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_653_79# a_232_82# a_805_392# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_343_80# a_232_82# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 VPWR a_27_120# a_571_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 a_343_80# a_232_82# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X9 a_805_392# a_863_294# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 VGND GATE_N a_232_82# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 Q a_863_294# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 VGND a_27_120# a_575_79# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 VPWR a_653_79# a_863_294# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 a_852_123# a_863_294# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 Q a_863_294# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 a_27_120# D VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X17 VGND a_1347_424# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 VGND a_653_79# a_863_294# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 VGND a_863_294# a_1347_424# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X20 VPWR a_863_294# a_1347_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X21 a_27_120# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
.ends

sky130_fd_sc_ls__dlxbn_2

not to scale


.subckt sky130_fd_sc_ls__dlxbn_2 D GATE_N VGND VNB VPB VPWR Q Q_N
X0 a_647_79# a_232_98# a_814_392# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VGND GATE_N a_232_98# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 Q a_887_270# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_569_79# a_232_98# a_647_79# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 VPWR a_887_270# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 a_27_136# D VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X6 a_839_123# a_887_270# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND a_887_270# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 VGND a_647_79# a_887_270# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VPWR a_1442_94# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 VGND a_27_136# a_569_79# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 a_27_136# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X12 VGND a_887_270# a_1442_94# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 VPWR a_647_79# a_887_270# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 Q a_887_270# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 a_343_74# a_232_98# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 a_647_79# a_343_74# a_839_123# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 a_565_392# a_343_74# a_647_79# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X18 VGND a_1442_94# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 Q_N a_1442_94# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 VPWR a_27_136# a_565_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X21 VPWR GATE_N a_232_98# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X22 a_814_392# a_887_270# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X23 Q_N a_1442_94# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 a_343_74# a_232_98# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X25 VPWR a_887_270# a_1442_94# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends