NAME

dlrtp from sky130_fd_sc_ls

DESCRIPTION

Delay latch, inverted reset, non-inverted enable, single output.

FUNCTION

VERILOG

"sky130_fd_sc_ls__dlrtp"
/*
*/


`ifndef SKY130_FD_SC_LS__DLRTP_FUNCTIONAL_V
`define SKY130_FD_SC_LS__DLRTP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dlatch_pr/sky130_fd_sc_ls__udp_dlatch_pr.v"

`celldefine
module sky130_fd_sc_ls__dlrtp (
    Q      ,
    RESET_B,
    D      ,
    GATE
);

    // Module ports
    output Q      ;
    input  RESET_B;
    input  D      ;
    input  GATE   ;

    // Local signals
    wire RESET;
    wire buf_Q;

    //                             Delay       Name     Output  Other arguments
    not                                        not0    (RESET , RESET_B        );
    sky130_fd_sc_ls__udp_dlatch$PR `UNIT_DELAY dlatch0 (buf_Q , D, GATE, RESET );
    buf                                        buf0    (Q     , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__DLRTP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__dlrtp_1

not to scale



.subckt sky130_fd_sc_ls__dlrtp_1 D GATE RESET_B VGND VNB VPB VPWR Q
X0 a_568_392# a_216_424# a_643_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 a_363_74# a_216_424# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_817_48# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 VGND a_27_424# a_565_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 VGND GATE a_216_424# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VPWR a_817_48# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_643_74# a_216_424# a_769_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_817_48# a_643_74# a_1045_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_1045_74# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_363_74# a_216_424# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X10 a_27_424# D VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X11 VGND a_817_48# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_759_508# a_817_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X13 a_27_424# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X14 a_565_74# a_363_74# a_643_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X15 VPWR GATE a_216_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X16 a_643_74# a_363_74# a_759_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 VPWR a_27_424# a_568_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X18 VPWR a_643_74# a_817_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 a_769_74# a_817_48# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_ls__dlrtp_2

not to scale


.subckt sky130_fd_sc_ls__dlrtp_2 D GATE RESET_B VGND VNB VPB VPWR Q
X0 a_832_55# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VPWR a_832_55# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VPWR GATE a_235_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 VGND a_27_392# a_568_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 VGND a_832_55# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_784_81# a_832_55# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_1060_74# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_347_98# a_235_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_832_55# a_646_74# a_1060_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_565_392# a_235_74# a_646_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 VPWR a_646_74# a_832_55# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 a_27_392# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X12 Q a_832_55# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 a_646_74# a_347_98# a_756_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X14 VGND GATE a_235_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 VPWR a_27_392# a_565_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X16 a_646_74# a_235_74# a_784_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 Q a_832_55# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 a_347_98# a_235_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X19 a_756_508# a_832_55# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 a_568_74# a_347_98# a_646_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X21 a_27_392# D VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
.ends

sky130_fd_sc_ls__dlrtp_4

not to scale


.subckt sky130_fd_sc_ls__dlrtp_4 D GATE RESET_B VGND VNB VPB VPWR Q
X0 Q a_797_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_797_48# a_640_74# a_938_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 VPWR a_640_74# a_797_48# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 a_562_392# a_240_394# a_640_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 VGND a_27_126# a_559_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 a_364_120# a_240_394# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_27_126# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X7 a_747_508# a_797_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 VPWR a_797_48# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_797_48# a_640_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X10 VGND a_797_48# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_938_74# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 VGND RESET_B a_938_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 VPWR RESET_B a_797_48# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X14 a_27_126# D VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X15 Q a_797_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 VPWR a_27_126# a_562_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X17 VGND GATE a_240_394# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 VPWR a_797_48# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 VGND a_797_48# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 a_364_120# a_240_394# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X21 Q a_797_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_559_74# a_364_120# a_640_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X23 Q a_797_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 a_640_74# a_240_394# a_755_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 a_797_48# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X26 a_938_74# a_640_74# a_797_48# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X27 a_755_74# a_797_48# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 VPWR GATE a_240_394# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X29 a_640_74# a_364_120# a_747_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends