NAME

dlrbn from sky130_fd_sc_ls

DESCRIPTION

Delay latch, inverted reset, inverted enable, complementary outputs.

FUNCTION

VERILOG

"sky130_fd_sc_ls__dlrbn"
/*
*/


`ifndef SKY130_FD_SC_LS__DLRBN_FUNCTIONAL_V
`define SKY130_FD_SC_LS__DLRBN_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dlatch_pr/sky130_fd_sc_ls__udp_dlatch_pr.v"

`celldefine
module sky130_fd_sc_ls__dlrbn (
    Q      ,
    Q_N    ,
    RESET_B,
    D      ,
    GATE_N
);

    // Module ports
    output Q      ;
    output Q_N    ;
    input  RESET_B;
    input  D      ;
    input  GATE_N ;

    // Local signals
    wire RESET  ;
    wire intgate;
    wire buf_Q  ;

    //                             Delay       Name     Output   Other arguments
    not                                        not0    (RESET  , RESET_B          );
    not                                        not1    (intgate, GATE_N           );
    sky130_fd_sc_ls__udp_dlatch$PR `UNIT_DELAY dlatch0 (buf_Q  , D, intgate, RESET);
    buf                                        buf0    (Q      , buf_Q            );
    not                                        not2    (Q_N    , buf_Q            );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__DLRBN_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__dlrbn_1

not to scale



.subckt sky130_fd_sc_ls__dlrbn_1 D GATE_N RESET_B VGND VNB VPB VPWR Q Q_N
X0 a_1133_74# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 VPWR a_1437_112# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_373_74# a_231_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 VPWR a_889_92# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_608_74# a_231_74# a_686_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 a_611_392# a_373_74# a_686_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 a_1437_112# a_889_92# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X7 VPWR GATE_N a_231_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X8 VGND a_889_92# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_686_74# a_231_74# a_802_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_889_92# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 VPWR a_27_424# a_611_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 a_686_74# a_373_74# a_841_118# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 VGND a_27_424# a_608_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X14 a_841_118# a_889_92# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_27_424# D VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X16 a_27_424# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X17 a_802_508# a_889_92# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X18 a_1437_112# a_889_92# VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X19 VPWR a_686_74# a_889_92# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 VGND GATE_N a_231_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 a_889_92# a_686_74# a_1133_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_373_74# a_231_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 VGND a_1437_112# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__dlrbn_2

not to scale


.subckt sky130_fd_sc_ls__dlrbn_2 D GATE_N RESET_B VGND VNB VPB VPWR Q Q_N
X0 VPWR a_27_112# a_595_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 a_363_74# a_230_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X2 a_592_74# a_230_74# a_670_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 VPWR a_838_48# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_363_74# a_230_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VGND GATE_N a_230_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 VGND a_1446_368# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 VPWR GATE_N a_230_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X8 a_790_74# a_838_48# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VGND a_838_48# a_1446_368# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 VPWR a_670_74# a_838_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 VGND a_838_48# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 VPWR a_1446_368# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 a_670_74# a_363_74# a_790_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_1066_74# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 VGND a_27_112# a_592_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 Q a_838_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 Q a_838_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 a_595_392# a_363_74# a_670_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 Q_N a_1446_368# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 a_27_112# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X21 a_838_48# a_670_74# a_1066_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_670_74# a_230_74# a_783_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X23 VPWR a_838_48# a_1446_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X24 Q_N a_1446_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X25 a_783_508# a_838_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X26 a_27_112# D VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X27 a_838_48# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends