NAME

dlclkp from sky130_fd_sc_ls

DESCRIPTION

Clock gate.

FUNCTION

VERILOG

"sky130_fd_sc_ls__dlclkp"
/*
*/


`ifndef SKY130_FD_SC_LS__DLCLKP_FUNCTIONAL_V
`define SKY130_FD_SC_LS__DLCLKP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dlatch_p/sky130_fd_sc_ls__udp_dlatch_p.v"

`celldefine
module sky130_fd_sc_ls__dlclkp (
    GCLK,
    GATE,
    CLK
);

    // Module ports
    output GCLK;
    input  GATE;
    input  CLK ;

    // Local signals
    wire m0  ;
    wire clkn;

    //                            Name     Output  Other arguments
    not                           not0    (clkn  , CLK            );
    sky130_fd_sc_ls__udp_dlatch$P dlatch0 (m0    , GATE, clkn     );
    and                           and0    (GCLK  , m0, CLK        );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__DLCLKP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__dlclkp_1

not to scale



.subckt sky130_fd_sc_ls__dlclkp_1 CLK GATE VGND VNB VPB VPWR GCLK
X0 a_267_80# a_315_54# a_83_260# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 VGND CLK a_984_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 a_484_508# a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VPWR CLK a_987_393# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X4 a_315_54# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X5 a_83_260# a_315_54# a_484_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_315_54# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 VPWR a_987_393# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 a_83_260# a_309_338# a_477_124# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_987_393# a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X10 VGND GATE a_267_80# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 VPWR GATE a_258_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 a_27_74# a_83_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 a_477_124# a_27_74# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_258_392# a_309_338# a_83_260# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 VPWR a_315_54# a_309_338# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X16 VGND a_315_54# a_309_338# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 a_27_74# a_83_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 a_984_125# a_27_74# a_987_393# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 VGND a_987_393# GCLK VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__dlclkp_2

not to scale


.subckt sky130_fd_sc_ls__dlclkp_2 CLK GATE VGND VNB VPB VPWR GCLK
X0 VPWR GATE a_264_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 a_508_508# a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_267_74# a_315_48# a_83_244# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 VPWR CLK a_1041_387# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 GCLK a_1041_387# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 VGND CLK a_1044_119# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 VGND a_1041_387# GCLK VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_315_48# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X8 a_83_244# a_315_338# a_494_118# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 GCLK a_1041_387# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 a_27_74# a_83_244# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 VGND GATE a_267_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 a_315_48# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 VGND a_315_48# a_315_338# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_1044_119# a_27_74# a_1041_387# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 a_27_74# a_83_244# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 VPWR a_1041_387# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 a_264_392# a_315_338# a_83_244# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X18 a_1041_387# a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 VPWR a_315_48# a_315_338# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X20 a_494_118# a_27_74# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 a_83_244# a_315_48# a_508_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_ls__dlclkp_4

not to scale


.subckt sky130_fd_sc_ls__dlclkp_4 CLK GATE VGND VNB VPB VPWR GCLK
X0 a_334_54# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 GCLK a_1044_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 GCLK a_1044_368# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_491_124# a_27_74# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_524_508# a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VGND GATE a_286_80# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 a_84_48# a_334_54# a_524_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_27_74# a_84_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 a_283_392# a_334_338# a_84_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 VPWR GATE a_283_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 GCLK a_1044_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 VGND a_334_54# a_334_338# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 VPWR a_1044_368# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 a_334_54# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X14 a_84_48# a_334_338# a_491_124# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 VGND a_1044_368# GCLK VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 GCLK a_1044_368# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 a_27_74# a_84_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 VPWR CLK a_1044_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 VGND a_1044_368# GCLK VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 VPWR a_334_54# a_334_338# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X21 VGND CLK a_1047_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_1044_368# a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X23 a_286_80# a_334_54# a_84_48# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X24 VPWR a_1044_368# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X25 a_1047_74# a_27_74# a_1044_368# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends