NAME

diode from sky130_fd_sc_ls

DESCRIPTION

Antenna tie-down diode.

FUNCTION

VERILOG

"sky130_fd_sc_ls__diode"
/*
*/


`ifndef SKY130_FD_SC_LS__DIODE_FUNCTIONAL_V
`define SKY130_FD_SC_LS__DIODE_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__diode (
    DIODE
);

    // Module ports
    input DIODE;
     // No contents.
endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__DIODE_FUNCTIONAL_V

SPICE

sky130_fd_sc_ls__diode_2

not to scale


.subckt sky130_fd_sc_ls__diode_2 DIODE VGND VNB VPB VPWR
X0 VNB DIODE sky130_fd_pr__diode_pw2nd p=7.32e+06u a=6.417e+11p
.ends